Bug fix for usb byte select from 1 to 4
diff --git a/def/uart_i2cm_usb.def.gz b/def/uart_i2cm_usb.def.gz
index 5414842..e3cc3aa 100644
--- a/def/uart_i2cm_usb.def.gz
+++ b/def/uart_i2cm_usb.def.gz
Binary files differ
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index 2bf3ab9..f447d79 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/def/wb_interconnect.def.gz b/def/wb_interconnect.def.gz
index 69c65f5..ee9e376 100644
--- a/def/wb_interconnect.def.gz
+++ b/def/wb_interconnect.def.gz
Binary files differ
diff --git a/gds/uart_i2cm_usb.gds.gz b/gds/uart_i2cm_usb.gds.gz
index e5a858c..2b7a9a8 100644
--- a/gds/uart_i2cm_usb.gds.gz
+++ b/gds/uart_i2cm_usb.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index c992f2e..a8b92fc 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_interconnect.gds.gz b/gds/wb_interconnect.gds.gz
index 134973a..3c80f37 100644
--- a/gds/wb_interconnect.gds.gz
+++ b/gds/wb_interconnect.gds.gz
Binary files differ
diff --git a/lef/uart_i2cm_usb.lef.gz b/lef/uart_i2cm_usb.lef.gz
index e1320bb..9b50f6d 100644
--- a/lef/uart_i2cm_usb.lef.gz
+++ b/lef/uart_i2cm_usb.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index afc6b28..3374a54 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_interconnect.lef.gz b/lef/wb_interconnect.lef.gz
index ba6c52e..4169e15 100644
--- a/lef/wb_interconnect.lef.gz
+++ b/lef/wb_interconnect.lef.gz
Binary files differ
diff --git a/mag/uart_i2cm_usb.mag.gz b/mag/uart_i2cm_usb.mag.gz
index f3c161d..03824ba 100644
--- a/mag/uart_i2cm_usb.mag.gz
+++ b/mag/uart_i2cm_usb.mag.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 1461a47..9bb3c83 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/mag/wb_interconnect.mag.gz b/mag/wb_interconnect.mag.gz
index dc7bbfc..96da892 100644
--- a/mag/wb_interconnect.mag.gz
+++ b/mag/wb_interconnect.mag.gz
Binary files differ
diff --git a/maglef/uart_i2cm_usb.mag.gz b/maglef/uart_i2cm_usb.mag.gz
index 2c5025d..4de0751 100644
--- a/maglef/uart_i2cm_usb.mag.gz
+++ b/maglef/uart_i2cm_usb.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index 24060c4..a59dfa0 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/wb_interconnect.mag.gz b/maglef/wb_interconnect.mag.gz
index c27e379..2259d1c 100644
--- a/maglef/wb_interconnect.mag.gz
+++ b/maglef/wb_interconnect.mag.gz
Binary files differ
diff --git a/openlane/uart_i2cm_usb/pin_order.cfg b/openlane/uart_i2cm_usb/pin_order.cfg
index dabdfcd..bfc0dd5 100644
--- a/openlane/uart_i2cm_usb/pin_order.cfg
+++ b/openlane/uart_i2cm_usb/pin_order.cfg
@@ -29,7 +29,10 @@
 reg_addr\[2\]          
 reg_addr\[1\]          
 reg_addr\[0\]          
-reg_be                 
+reg_be\[3\]                 
+reg_be\[2\]                 
+reg_be\[1\]                 
+reg_be\[0\]                 
 reg_wdata\[31\]         
 reg_wdata\[30\]         
 reg_wdata\[29\]         
diff --git a/openlane/wb_interconnect/pin_order.cfg b/openlane/wb_interconnect/pin_order.cfg
index 05de3b9..340987f 100644
--- a/openlane/wb_interconnect/pin_order.cfg
+++ b/openlane/wb_interconnect/pin_order.cfg
@@ -344,7 +344,10 @@
 s3_wbd_adr_o\[2\]   
 s3_wbd_adr_o\[1\]   
 s3_wbd_adr_o\[0\]   
-s3_wbd_sel_o        
+s3_wbd_sel_o\[3\]       
+s3_wbd_sel_o\[2\]       
+s3_wbd_sel_o\[1\]       
+s3_wbd_sel_o\[0\]       
 s3_wbd_dat_o\[31\]   
 s3_wbd_dat_o\[30\]   
 s3_wbd_dat_o\[29\]   
diff --git a/signoff/uart_i2cm_usb/OPENLANE_VERSION b/signoff/uart_i2cm_usb/OPENLANE_VERSION
index bb2743d..80c7664 100644
--- a/signoff/uart_i2cm_usb/OPENLANE_VERSION
+++ b/signoff/uart_i2cm_usb/OPENLANE_VERSION
@@ -1 +1 @@
-openlane 2021.10.08_02.00.18-4-gd052a91
+openlane N/A
diff --git a/signoff/uart_i2cm_usb/PDK_SOURCES b/signoff/uart_i2cm_usb/PDK_SOURCES
index 1bf005a..ca3684a 100644
--- a/signoff/uart_i2cm_usb/PDK_SOURCES
+++ b/signoff/uart_i2cm_usb/PDK_SOURCES
@@ -1,6 +1,6 @@
 -ne openlane 
-d052a918f4a46ddbae0ad09812f6cd0b8eb4a1e5
+8d686c081c2c9aefa16dbbd8ccf5bc8f4dcabc4b
 -ne skywater-pdk 
 c094b6e83a4f9298e47f696ec5a7fd53535ec5eb
 -ne open_pdks 
-5cad4f87435ae7f4e17e50d9c66cd79ecc14e663
+14db32aa8ba330e88632ff3ad2ff52f4f4dae1ad
diff --git a/signoff/uart_i2cm_usb/final_summary_report.csv b/signoff/uart_i2cm_usb/final_summary_report.csv
index 1d1e342..25a9198 100644
--- a/signoff/uart_i2cm_usb/final_summary_report.csv
+++ b/signoff/uart_i2cm_usb/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/uart_i2cm_usb,uart_i2c_usb_top,uart_i2cm_usb,flow_completed,0h16m34s,0h11m46s,51904.761904761916,0.42,25952.380952380958,28.94,800.04,10900,0,0,0,0,0,0,0,1,0,-1,-1,426781,91311,-5.29,-55.78,-7.0,-6.83,-8.03,-467.0,-996.36,-524.23,-886.3,-3016.56,301433128.0,0.0,24.27,23.93,1.43,0.72,-1,7693,11458,1105,4813,0,0,0,9044,0,0,0,0,0,0,0,4,2486,2494,26,498,5647,0,6145,55.46311702717692,18.03,10,AREA 0,4,50,1,100,100,0.45,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/uart_i2cm_usb,uart_i2c_usb_top,uart_i2cm_usb,flow_completed,0h14m57s,-1,49638.09523809524,0.42,24819.04761904762,28.48,778.84,10424,0,0,0,0,0,0,0,1,0,-1,-1,383421,88492,-4.3,-54.7,-1,-5.01,-1,-3258.32,-5894.95,-1,-676.08,-1,240117884.0,0.0,22.88,21.06,0.75,0.14,-1,7685,11444,1102,4804,0,0,0,9039,0,0,0,0,0,0,0,4,2486,2494,26,498,5647,0,6145,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.45,0.0,sky130_fd_sc_hd,4,4
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 2bf3eab..990b16a 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h44m56s,-1,1.362079701120797,10.2784,0.6810398505603985,-1,514.75,7,0,0,0,0,0,0,0,0,2,-1,-1,1246174,5381,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40146.23,1.66,5.18,0.52,1.3,-1,143,1700,143,1700,0,0,0,7,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h46m15s,-1,1.362079701120797,10.2784,0.6810398505603985,-1,515.45,7,2,2,0,0,0,0,0,0,7,-1,-1,1247415,5628,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40146.23,1.66,5.2,0.52,1.28,-1,143,1703,143,1703,0,0,0,7,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_interconnect/OPENLANE_VERSION b/signoff/wb_interconnect/OPENLANE_VERSION
index bb2743d..80c7664 100644
--- a/signoff/wb_interconnect/OPENLANE_VERSION
+++ b/signoff/wb_interconnect/OPENLANE_VERSION
@@ -1 +1 @@
-openlane 2021.10.08_02.00.18-4-gd052a91
+openlane N/A
diff --git a/signoff/wb_interconnect/PDK_SOURCES b/signoff/wb_interconnect/PDK_SOURCES
index 1bf005a..ca3684a 100644
--- a/signoff/wb_interconnect/PDK_SOURCES
+++ b/signoff/wb_interconnect/PDK_SOURCES
@@ -1,6 +1,6 @@
 -ne openlane 
-d052a918f4a46ddbae0ad09812f6cd0b8eb4a1e5
+8d686c081c2c9aefa16dbbd8ccf5bc8f4dcabc4b
 -ne skywater-pdk 
 c094b6e83a4f9298e47f696ec5a7fd53535ec5eb
 -ne open_pdks 
-5cad4f87435ae7f4e17e50d9c66cd79ecc14e663
+14db32aa8ba330e88632ff3ad2ff52f4f4dae1ad
diff --git a/signoff/wb_interconnect/final_summary_report.csv b/signoff/wb_interconnect/final_summary_report.csv
index fc65b89..8fc317a 100644
--- a/signoff/wb_interconnect/final_summary_report.csv
+++ b/signoff/wb_interconnect/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_interconnect,wb_interconnect,wb_interconnect,flow_completed,0h9m20s,0h6m43s,7721.212121212122,0.33,3860.606060606061,3.83,629.7,1274,0,0,0,0,0,0,0,1,0,-1,-1,456121,23218,-8.07,-7.13,-5.21,-6.17,-5.92,-13.02,-490.77,-5.6,-930.57,-1208.8,387560668.0,2.62,41.09,10.03,23.08,0.03,-1,836,2735,173,2072,0,0,0,1154,0,0,0,0,0,0,0,4,435,506,7,94,4140,0,4234,62.814070351758794,15.92,10,AREA 0,4,50,1,100,100,0.5,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_interconnect,wb_interconnect,wb_interconnect,flow_completed,0h11m47s,-1,7503.030303030304,0.33,3751.515151515152,3.78,639.21,1238,0,0,0,0,0,0,0,1,0,-1,-1,449723,22521,-4.39,-2.12,-1,-3.56,-1,-4.39,-2.28,-1,-50.19,-1,381956844.0,2.04,41.94,9.66,21.36,0.04,-1,836,2738,173,2075,0,0,0,1157,0,0,0,0,0,0,0,4,435,509,7,94,4140,0,4234,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.5,0.0,sky130_fd_sc_hd,4,4
diff --git a/spi/lvs/uart_i2cm_usb.spice.gz b/spi/lvs/uart_i2cm_usb.spice.gz
index 3eaf634..826d3b6 100644
--- a/spi/lvs/uart_i2cm_usb.spice.gz
+++ b/spi/lvs/uart_i2cm_usb.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index b996272..eb72507 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_interconnect.spice.gz b/spi/lvs/wb_interconnect.spice.gz
index 2455277..c99a8b9 100644
--- a/spi/lvs/wb_interconnect.spice.gz
+++ b/spi/lvs/wb_interconnect.spice.gz
Binary files differ
diff --git a/verilog/dv/riscv_regress/Makefile b/verilog/dv/riscv_regress/Makefile
index e637dbe..743cd17 100644
--- a/verilog/dv/riscv_regress/Makefile
+++ b/verilog/dv/riscv_regress/Makefile
@@ -172,20 +172,20 @@
 ifeq (,$(findstring e,$(ARCH_lowercase)))
 	# These tests cannot be compiled for RVE
 	# Comment this target if you don't want to run the riscv_isa
-	#TARGETS += riscv_isa
+	TARGETS += riscv_isa
 
 	# Comment this target if you don't want to run the riscv_compliance
-	#TARGETS += riscv_compliance
+	TARGETS += riscv_compliance
 endif
 
 # Comment this target if you don't want to run the isr_sample
-#TARGETS += isr_sample
+TARGETS += isr_sample
 
 # Comment this target if you don't want to run the coremark
-#TARGETS += coremark
+TARGETS += coremark
 
 # Comment this target if you don't want to run the dhrystone
-#TARGETS += dhrystone21
+TARGETS += dhrystone21
 
 # Comment this target if you don't want to run the hello test
 TARGETS += hello
diff --git a/verilog/gl/uart_i2cm_usb.v b/verilog/gl/uart_i2cm_usb.v
index b443c71..18ce1c6 100644
--- a/verilog/gl/uart_i2cm_usb.v
+++ b/verilog/gl/uart_i2cm_usb.v
@@ -1,7 +1,6 @@
 module uart_i2c_usb_top (app_clk,
     i2c_rstn,
     reg_ack,
-    reg_be,
     reg_cs,
     reg_wr,
     uart_rstn,
@@ -16,13 +15,13 @@
     io_oeb,
     io_out,
     reg_addr,
+    reg_be,
     reg_rdata,
     reg_wdata,
     uart_i2c_usb_sel);
  input app_clk;
  input i2c_rstn;
  output reg_ack;
- input reg_be;
  input reg_cs;
  input reg_wr;
  input uart_rstn;
@@ -37,6 +36,7 @@
  output [1:0] io_oeb;
  output [1:0] io_out;
  input [3:0] reg_addr;
+ input [3:0] reg_be;
  output [31:0] reg_rdata;
  input [31:0] reg_wdata;
  input [1:0] uart_i2c_usb_sel;
@@ -8130,482 +8130,6 @@
  wire _08087_;
  wire _08088_;
  wire _08089_;
- wire _08090_;
- wire _08091_;
- wire _08092_;
- wire _08093_;
- wire _08094_;
- wire _08095_;
- wire _08096_;
- wire _08097_;
- wire _08098_;
- wire _08099_;
- wire _08100_;
- wire _08101_;
- wire _08102_;
- wire _08103_;
- wire _08104_;
- wire _08105_;
- wire _08106_;
- wire _08107_;
- wire _08108_;
- wire _08109_;
- wire _08110_;
- wire _08111_;
- wire _08112_;
- wire _08113_;
- wire _08114_;
- wire _08115_;
- wire _08116_;
- wire _08117_;
- wire _08118_;
- wire _08119_;
- wire _08120_;
- wire _08121_;
- wire _08122_;
- wire _08123_;
- wire _08124_;
- wire _08125_;
- wire _08126_;
- wire _08127_;
- wire _08128_;
- wire _08129_;
- wire _08130_;
- wire _08131_;
- wire _08132_;
- wire _08133_;
- wire _08134_;
- wire _08135_;
- wire _08136_;
- wire _08137_;
- wire _08138_;
- wire _08139_;
- wire _08140_;
- wire _08141_;
- wire _08142_;
- wire _08143_;
- wire _08144_;
- wire _08145_;
- wire _08146_;
- wire _08147_;
- wire _08148_;
- wire _08149_;
- wire _08150_;
- wire _08151_;
- wire _08152_;
- wire _08153_;
- wire _08154_;
- wire _08155_;
- wire _08156_;
- wire _08157_;
- wire _08158_;
- wire _08159_;
- wire _08160_;
- wire _08161_;
- wire _08162_;
- wire _08163_;
- wire _08164_;
- wire _08165_;
- wire _08166_;
- wire _08167_;
- wire _08168_;
- wire _08169_;
- wire _08170_;
- wire _08171_;
- wire _08172_;
- wire _08173_;
- wire _08174_;
- wire _08175_;
- wire _08176_;
- wire _08177_;
- wire _08178_;
- wire _08179_;
- wire _08180_;
- wire _08181_;
- wire _08182_;
- wire _08183_;
- wire _08184_;
- wire _08185_;
- wire _08186_;
- wire _08187_;
- wire _08188_;
- wire _08189_;
- wire _08190_;
- wire _08191_;
- wire _08192_;
- wire _08193_;
- wire _08194_;
- wire _08195_;
- wire _08196_;
- wire _08197_;
- wire _08198_;
- wire _08199_;
- wire _08200_;
- wire _08201_;
- wire _08202_;
- wire _08203_;
- wire _08204_;
- wire _08205_;
- wire _08206_;
- wire _08207_;
- wire _08208_;
- wire _08209_;
- wire _08210_;
- wire _08211_;
- wire _08212_;
- wire _08213_;
- wire _08214_;
- wire _08215_;
- wire _08216_;
- wire _08217_;
- wire _08218_;
- wire _08219_;
- wire _08220_;
- wire _08221_;
- wire _08222_;
- wire _08223_;
- wire _08224_;
- wire _08225_;
- wire _08226_;
- wire _08227_;
- wire _08228_;
- wire _08229_;
- wire _08230_;
- wire _08231_;
- wire _08232_;
- wire _08233_;
- wire _08234_;
- wire _08235_;
- wire _08236_;
- wire _08237_;
- wire _08238_;
- wire _08239_;
- wire _08240_;
- wire _08241_;
- wire _08242_;
- wire _08243_;
- wire _08244_;
- wire _08245_;
- wire _08246_;
- wire _08247_;
- wire _08248_;
- wire _08249_;
- wire _08250_;
- wire _08251_;
- wire _08252_;
- wire _08253_;
- wire _08254_;
- wire _08255_;
- wire _08256_;
- wire _08257_;
- wire _08258_;
- wire _08259_;
- wire _08260_;
- wire _08261_;
- wire _08262_;
- wire _08263_;
- wire _08264_;
- wire _08265_;
- wire _08266_;
- wire _08267_;
- wire _08268_;
- wire _08269_;
- wire _08270_;
- wire _08271_;
- wire _08272_;
- wire _08273_;
- wire _08274_;
- wire _08275_;
- wire _08276_;
- wire _08277_;
- wire _08278_;
- wire _08279_;
- wire _08280_;
- wire _08281_;
- wire _08282_;
- wire _08283_;
- wire _08284_;
- wire _08285_;
- wire _08286_;
- wire _08287_;
- wire _08288_;
- wire _08289_;
- wire _08290_;
- wire _08291_;
- wire _08292_;
- wire _08293_;
- wire _08294_;
- wire _08295_;
- wire _08296_;
- wire _08297_;
- wire _08298_;
- wire _08299_;
- wire _08300_;
- wire _08301_;
- wire _08302_;
- wire _08303_;
- wire _08304_;
- wire _08305_;
- wire _08306_;
- wire _08307_;
- wire _08308_;
- wire _08309_;
- wire _08310_;
- wire _08311_;
- wire _08312_;
- wire _08313_;
- wire _08314_;
- wire _08315_;
- wire _08316_;
- wire _08317_;
- wire _08318_;
- wire _08319_;
- wire _08320_;
- wire _08321_;
- wire _08322_;
- wire _08323_;
- wire _08324_;
- wire _08325_;
- wire _08326_;
- wire _08327_;
- wire _08328_;
- wire _08329_;
- wire _08330_;
- wire _08331_;
- wire _08332_;
- wire _08333_;
- wire _08334_;
- wire _08335_;
- wire _08336_;
- wire _08337_;
- wire _08338_;
- wire _08339_;
- wire _08340_;
- wire _08341_;
- wire _08342_;
- wire _08343_;
- wire _08344_;
- wire _08345_;
- wire _08346_;
- wire _08347_;
- wire _08348_;
- wire _08349_;
- wire _08350_;
- wire _08351_;
- wire _08352_;
- wire _08353_;
- wire _08354_;
- wire _08355_;
- wire _08356_;
- wire _08357_;
- wire _08358_;
- wire _08359_;
- wire _08360_;
- wire _08361_;
- wire _08362_;
- wire _08363_;
- wire _08364_;
- wire _08365_;
- wire _08366_;
- wire _08367_;
- wire _08368_;
- wire _08369_;
- wire _08370_;
- wire _08371_;
- wire _08372_;
- wire _08373_;
- wire _08374_;
- wire _08375_;
- wire _08376_;
- wire _08377_;
- wire _08378_;
- wire _08379_;
- wire _08380_;
- wire _08381_;
- wire _08382_;
- wire _08383_;
- wire _08384_;
- wire _08385_;
- wire _08386_;
- wire _08387_;
- wire _08388_;
- wire _08389_;
- wire _08390_;
- wire _08391_;
- wire _08392_;
- wire _08393_;
- wire _08394_;
- wire _08395_;
- wire _08396_;
- wire _08397_;
- wire _08398_;
- wire _08399_;
- wire _08400_;
- wire _08401_;
- wire _08402_;
- wire _08403_;
- wire _08404_;
- wire _08405_;
- wire _08406_;
- wire _08407_;
- wire _08408_;
- wire _08409_;
- wire _08410_;
- wire _08411_;
- wire _08412_;
- wire _08413_;
- wire _08414_;
- wire _08415_;
- wire _08416_;
- wire _08417_;
- wire _08418_;
- wire _08419_;
- wire _08420_;
- wire _08421_;
- wire _08422_;
- wire _08423_;
- wire _08424_;
- wire _08425_;
- wire _08426_;
- wire _08427_;
- wire _08428_;
- wire _08429_;
- wire _08430_;
- wire _08431_;
- wire _08432_;
- wire _08433_;
- wire _08434_;
- wire _08435_;
- wire _08436_;
- wire _08437_;
- wire _08438_;
- wire _08439_;
- wire _08440_;
- wire _08441_;
- wire _08442_;
- wire _08443_;
- wire _08444_;
- wire _08445_;
- wire _08446_;
- wire _08447_;
- wire _08448_;
- wire _08449_;
- wire _08450_;
- wire _08451_;
- wire _08452_;
- wire _08453_;
- wire _08454_;
- wire _08455_;
- wire _08456_;
- wire _08457_;
- wire _08458_;
- wire _08459_;
- wire _08460_;
- wire _08461_;
- wire _08462_;
- wire _08463_;
- wire _08464_;
- wire _08465_;
- wire _08466_;
- wire _08467_;
- wire _08468_;
- wire _08469_;
- wire _08470_;
- wire _08471_;
- wire _08472_;
- wire _08473_;
- wire _08474_;
- wire _08475_;
- wire _08476_;
- wire _08477_;
- wire _08478_;
- wire _08479_;
- wire _08480_;
- wire _08481_;
- wire _08482_;
- wire _08483_;
- wire _08484_;
- wire _08485_;
- wire _08486_;
- wire _08487_;
- wire _08488_;
- wire _08489_;
- wire _08490_;
- wire _08491_;
- wire _08492_;
- wire _08493_;
- wire _08494_;
- wire _08495_;
- wire _08496_;
- wire _08497_;
- wire _08498_;
- wire _08499_;
- wire _08500_;
- wire _08501_;
- wire _08502_;
- wire _08503_;
- wire _08504_;
- wire _08505_;
- wire _08506_;
- wire _08507_;
- wire _08508_;
- wire _08509_;
- wire _08510_;
- wire _08511_;
- wire _08512_;
- wire _08513_;
- wire _08514_;
- wire _08515_;
- wire _08516_;
- wire _08517_;
- wire _08518_;
- wire _08519_;
- wire _08520_;
- wire _08521_;
- wire _08522_;
- wire _08523_;
- wire _08524_;
- wire _08525_;
- wire _08526_;
- wire _08527_;
- wire _08528_;
- wire _08529_;
- wire _08530_;
- wire _08531_;
- wire _08532_;
- wire _08533_;
- wire _08534_;
- wire _08535_;
- wire _08536_;
- wire _08537_;
- wire _08538_;
- wire _08539_;
- wire _08540_;
- wire _08541_;
- wire _08542_;
- wire _08543_;
- wire _08544_;
- wire _08545_;
- wire _08546_;
- wire _08547_;
- wire _08548_;
- wire _08549_;
- wire _08550_;
- wire _08551_;
- wire _08552_;
- wire _08553_;
- wire _08554_;
- wire _08555_;
- wire _08556_;
- wire _08557_;
- wire _08558_;
- wire _08559_;
- wire _08560_;
- wire _08561_;
- wire _08562_;
- wire _08563_;
- wire _08564_;
- wire _08565_;
  wire clknet_0_app_clk;
  wire clknet_0_usb_clk;
  wire clknet_1_0_0_app_clk;
@@ -8659,6 +8183,7 @@
  wire clknet_leaf_104_usb_clk;
  wire clknet_leaf_105_usb_clk;
  wire clknet_leaf_106_usb_clk;
+ wire clknet_leaf_107_usb_clk;
  wire clknet_leaf_108_usb_clk;
  wire clknet_leaf_109_usb_clk;
  wire clknet_leaf_10_app_clk;
@@ -8721,11 +8246,9 @@
  wire clknet_leaf_157_usb_clk;
  wire clknet_leaf_158_usb_clk;
  wire clknet_leaf_159_usb_clk;
- wire clknet_leaf_15_app_clk;
  wire clknet_leaf_15_usb_clk;
  wire clknet_leaf_160_usb_clk;
  wire clknet_leaf_161_usb_clk;
- wire clknet_leaf_162_usb_clk;
  wire clknet_leaf_163_usb_clk;
  wire clknet_leaf_164_usb_clk;
  wire clknet_leaf_165_usb_clk;
@@ -8754,11 +8277,15 @@
  wire clknet_leaf_184_usb_clk;
  wire clknet_leaf_185_usb_clk;
  wire clknet_leaf_186_usb_clk;
+ wire clknet_leaf_187_usb_clk;
  wire clknet_leaf_188_usb_clk;
+ wire clknet_leaf_189_usb_clk;
  wire clknet_leaf_18_app_clk;
- wire clknet_leaf_18_usb_clk;
+ wire clknet_leaf_192_usb_clk;
+ wire clknet_leaf_194_usb_clk;
+ wire clknet_leaf_195_usb_clk;
+ wire clknet_leaf_196_usb_clk;
  wire clknet_leaf_19_app_clk;
- wire clknet_leaf_19_usb_clk;
  wire clknet_leaf_1_app_clk;
  wire clknet_leaf_1_usb_clk;
  wire clknet_leaf_20_app_clk;
@@ -8791,6 +8318,7 @@
  wire clknet_leaf_32_usb_clk;
  wire clknet_leaf_33_app_clk;
  wire clknet_leaf_33_usb_clk;
+ wire clknet_leaf_34_app_clk;
  wire clknet_leaf_34_usb_clk;
  wire clknet_leaf_35_usb_clk;
  wire clknet_leaf_36_usb_clk;
@@ -8814,6 +8342,7 @@
  wire clknet_leaf_50_usb_clk;
  wire clknet_leaf_51_usb_clk;
  wire clknet_leaf_52_usb_clk;
+ wire clknet_leaf_53_usb_clk;
  wire clknet_leaf_54_usb_clk;
  wire clknet_leaf_55_usb_clk;
  wire clknet_leaf_56_usb_clk;
@@ -8840,6 +8369,7 @@
  wire clknet_leaf_73_usb_clk;
  wire clknet_leaf_74_usb_clk;
  wire clknet_leaf_75_usb_clk;
+ wire clknet_leaf_76_usb_clk;
  wire clknet_leaf_77_usb_clk;
  wire clknet_leaf_78_usb_clk;
  wire clknet_leaf_79_usb_clk;
@@ -9001,6 +8531,9 @@
  wire net209;
  wire net21;
  wire net210;
+ wire net211;
+ wire net212;
+ wire net213;
  wire net22;
  wire net23;
  wire net24;
@@ -11383,20167 +10916,22017 @@
  wire \u_usb_host.u_phy.state_q[9] ;
  wire \u_usb_host.u_phy.sync_j_detected_q ;
 
- sky130_fd_sc_hd__diode_2 ANTENNA__08665__C1 (.DIODE(net13),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08090__A (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08683__A (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08146__B (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08687__B1 (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08167__B (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08696__A1 (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08169__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08697__A (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08182__C1 (.DIODE(net13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08699__A (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08200__A (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08704__A1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08204__B1 (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08704__B1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08209__B (.DIODE(_05338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08729__A (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08211__B2 (.DIODE(_05338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08731__A (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08213__A1 (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08732__A (.DIODE(net8),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08213__A2 (.DIODE(_05338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08733__A (.DIODE(net10),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08214__A (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08736__A (.DIODE(_05605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08216__A (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08738__A (.DIODE(_05612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08221__A1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08741__A0 (.DIODE(_05604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08221__B1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08741__A1 (.DIODE(\u_i2cm.ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08234__C (.DIODE(_00236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08743__A (.DIODE(net8),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08243__B1 (.DIODE(_05367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08745__A (.DIODE(net10),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08244__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08748__B (.DIODE(_05621_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08245__A (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08750__A (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08247__A (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08751__A (.DIODE(_05624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08247__B (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08754__B1 (.DIODE(_05625_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08248__A (.DIODE(_05368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08755__A (.DIODE(net38),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08253__A0 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08756__A (.DIODE(_05628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08255__A (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08757__B1 (.DIODE(_05629_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08257__A (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08758__A (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08257__C (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08759__A (.DIODE(_05630_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08262__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08760__B1 (.DIODE(_05631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08263__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08761__A (.DIODE(net36),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08264__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08762__A (.DIODE(_05632_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08265__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08763__B1 (.DIODE(_05633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08268__A1 (.DIODE(\u_i2cm.prer[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08765__A (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08268__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08766__A (.DIODE(_05635_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08269__A1 (.DIODE(\u_i2cm.prer[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08769__A (.DIODE(net32),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08269__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08770__A (.DIODE(_05638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08270__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08772__A (.DIODE(net25),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08271__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08773__A (.DIODE(_05640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08272__A (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08775__A (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08272__B (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08776__A (.DIODE(_05642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08272__C (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08780__B (.DIODE(_05645_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08277__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08784__B1 (.DIODE(_05625_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08278__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08785__B1 (.DIODE(_05629_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08279__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08786__B1 (.DIODE(_05631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08280__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08787__B1 (.DIODE(_05633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08283__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08797__A (.DIODE(_05612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08284__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08801__B1 (.DIODE(_05625_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08285__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08802__B1 (.DIODE(_05629_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08286__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08803__B1 (.DIODE(_05631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08287__A (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08804__B1 (.DIODE(_05633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08289__B (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08813__A (.DIODE(_05612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08289__C (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08817__B1 (.DIODE(_05625_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08294__A1 (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08818__B1 (.DIODE(_05629_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08294__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08819__B1 (.DIODE(_05631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08295__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08820__B1 (.DIODE(_05633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08296__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08835__A1 (.DIODE(\u_i2cm.u_byte_ctrl.core_rxd ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08297__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08850__A (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08300__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08861__A (.DIODE(_05691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08301__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08864__A (.DIODE(\u_usb_host.u_core.cfg_wr ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08302__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08866__A1 (.DIODE(_05695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08303__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08943__B (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08304__C (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08945__A (.DIODE(_05753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08309__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08952__A (.DIODE(_05753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08310__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08958__A (.DIODE(_05753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08311__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08964__A (.DIODE(_05753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08312__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08971__A (.DIODE(net11),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08315__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08973__A (.DIODE(_05765_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08316__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08974__A (.DIODE(_05621_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08317__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08975__A (.DIODE(net42),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08318__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08976__A (.DIODE(net13),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08319__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08977__C_N (.DIODE(net12),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08324__A1 (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08978__C (.DIODE(_05770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08324__B1 (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08979__B (.DIODE(_05642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08326__A1 (.DIODE(\u_i2cm.u_byte_ctrl.core_rxd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08982__B (.DIODE(_05640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08331__C1 (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08985__B (.DIODE(_05638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08333__B1 (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09029__A (.DIODE(\u_uart_core.reg_ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08336__A (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09030__A (.DIODE(\u_uart_core.app_rxfifo_empty ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08343__A (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09032__A (.DIODE(_05805_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08344__A1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09032__B (.DIODE(_05806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08345__A1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09032__D (.DIODE(_05809_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08353__A1 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09033__A (.DIODE(_05810_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08353__A2 (.DIODE(_00236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09036__A0 (.DIODE(\u_uart_core.app_rxfifo_empty ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08362__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09112__B (.DIODE(\u_uart_core.cfg_rx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08429__B1 (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09138__B (.DIODE(_05894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08430__B (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09139__B (.DIODE(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08459__A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09151__B (.DIODE(_05894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08460__A (.DIODE(net13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09207__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08461__C_N (.DIODE(net12),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09209__B1 (.DIODE(_05934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08462__A (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09211__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08462__C (.DIODE(_05503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09213__B1 (.DIODE(_05937_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08463__B (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09214__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08466__B (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09217__B1 (.DIODE(_05939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08469__B (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09218__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08513__A (.DIODE(\u_uart_core.app_rxfifo_empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09220__B1 (.DIODE(_05942_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08514__B (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09221__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08514__C (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09223__B1 (.DIODE(_05944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08515__A (.DIODE(net137),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09225__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08515__B (.DIODE(_05538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09227__B1 (.DIODE(_05947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08516__A (.DIODE(_05542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09228__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08519__A0 (.DIODE(\u_uart_core.app_rxfifo_empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09230__B1 (.DIODE(_05949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08521__A1 (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09231__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08546__A1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09233__B1 (.DIODE(_05951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08546__B1 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09245__B1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08549__A0 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09263__A (.DIODE(_05976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08549__A1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09270__A (.DIODE(_05983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08553__A0 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09272__A1_N (.DIODE(_05965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08553__A1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09272__B2 (.DIODE(_05965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08556__A0 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09274__A (.DIODE(_05986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08556__A1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09276__A (.DIODE(_05988_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08560__A0 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09290__A2 (.DIODE(_05993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08560__A1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09298__A2 (.DIODE(_06005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08563__A0 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09301__A (.DIODE(_06011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08563__A1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09303__A (.DIODE(_06013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08566__A0 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09304__A (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08566__A1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09306__A (.DIODE(_05983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08569__A0 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09308__A2 (.DIODE(_06005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08569__A1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09310__A (.DIODE(_05983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08573__B1 (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09311__A (.DIODE(_06020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08574__A (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09312__A (.DIODE(_05988_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08585__B (.DIODE(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09313__A (.DIODE(_06011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08609__A (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09314__A3 (.DIODE(_06022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08609__B (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09314__B2 (.DIODE(_06023_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08611__B (.DIODE(\u_uart_core.cfg_stop_bit ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09316__B2 (.DIODE(_06023_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08611__C (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09322__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08614__A (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09327__A (.DIODE(_05988_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08629__B1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09336__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08630__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09342__A (.DIODE(_06011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08652__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09346__A (.DIODE(_05983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08683__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09348__B1 (.DIODE(_06047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08688__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09355__B1 (.DIODE(_06054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08690__B (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09359__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08697__B1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09371__A (.DIODE(_05986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08698__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09377__A2 (.DIODE(_05993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08702__C (.DIODE(_00236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09384__A (.DIODE(_06081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08705__A (.DIODE(_05667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09389__A (.DIODE(_05976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08709__A (.DIODE(_00237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09390__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08711__A (.DIODE(_00238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09398__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08713__A (.DIODE(_00239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09402__A (.DIODE(_05986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08714__A1 (.DIODE(_00240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09410__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08716__A (.DIODE(_05678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09416__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08722__A1 (.DIODE(_00240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09418__A (.DIODE(_06110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08722__B1 (.DIODE(_00239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09420__B1 (.DIODE(_06107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08724__A (.DIODE(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09422__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08726__A1_N (.DIODE(_05668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09423__A (.DIODE(_06110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08726__B2 (.DIODE(_05668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09425__B1 (.DIODE(_06107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08729__A (.DIODE(_05690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09426__A (.DIODE(_05986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08732__A (.DIODE(_05678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09428__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08743__A2 (.DIODE(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09429__A (.DIODE(_06110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08746__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09431__A2 (.DIODE(_06117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08750__A2 (.DIODE(_05706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09431__B1 (.DIODE(_06107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08751__A2 (.DIODE(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09441__A (.DIODE(_06130_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08755__A (.DIODE(_05714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09444__B_N (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08756__A (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09445__B1 (.DIODE(_06128_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08758__A (.DIODE(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09445__B2 (.DIODE(_06133_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08760__A1 (.DIODE(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09446__A2 (.DIODE(_06081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08760__A2 (.DIODE(_05706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09446__B2 (.DIODE(_05993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08762__A (.DIODE(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09447__A2 (.DIODE(_06117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08763__A (.DIODE(_05721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09447__B1 (.DIODE(_06107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08764__A (.DIODE(_05690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09452__B_N (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08765__A (.DIODE(_05667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09453__B1 (.DIODE(_06140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08766__A3 (.DIODE(_05723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09453__B2 (.DIODE(_06133_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08766__B2 (.DIODE(_05724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09454__A2 (.DIODE(_06081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08767__B2 (.DIODE(_05724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09454__B2 (.DIODE(_05993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08768__B2 (.DIODE(_05724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09455__A2 (.DIODE(_06117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08769__B2 (.DIODE(_05667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09455__B1 (.DIODE(_06022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08772__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09460__B_N (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08775__A1 (.DIODE(_05725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09461__B1 (.DIODE(_06147_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08777__A (.DIODE(_05690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09462__A2 (.DIODE(_06081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08783__A1 (.DIODE(_05733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09462__B2 (.DIODE(_06005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08786__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09463__A2 (.DIODE(_06117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08790__A1 (.DIODE(_05738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09463__B1 (.DIODE(_06022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08796__A (.DIODE(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09469__A2 (.DIODE(_06110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08798__A1 (.DIODE(_05745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09469__B2 (.DIODE(_05976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08805__A1 (.DIODE(_05751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09470__B1 (.DIODE(_06153_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08812__A1 (.DIODE(_05758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09470__B2 (.DIODE(_06133_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08819__A1 (.DIODE(_05763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09471__A1_N (.DIODE(_05965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08827__A1 (.DIODE(_05770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09471__B2 (.DIODE(_05965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08859__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09480__B1 (.DIODE(_06164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08865__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09481__B2 (.DIODE(_06005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08871__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09482__A2 (.DIODE(_06011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08877__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09482__B1 (.DIODE(_06022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08887__A (.DIODE(_00734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09486__A1_N (.DIODE(_00732_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08889__B1 (.DIODE(_00240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09491__A2_N (.DIODE(_06020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08890__A (.DIODE(_05828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09492__B2 (.DIODE(_05976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08893__B_N (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09497__A1_N (.DIODE(_00736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08894__B1 (.DIODE(_05826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09501__A1_N (.DIODE(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08894__B2 (.DIODE(_05831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09501__A2_N (.DIODE(_06020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08901__B_N (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09507__A1_N (.DIODE(_00724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08902__B1 (.DIODE(_05838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09512__A1_N (.DIODE(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08902__B2 (.DIODE(_05831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09512__A2_N (.DIODE(_06020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08904__B1 (.DIODE(_05723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09514__A1 (.DIODE(_06188_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08909__B_N (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09515__A1_N (.DIODE(_06023_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08910__B1 (.DIODE(_05845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09515__B2 (.DIODE(_06023_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08911__B2 (.DIODE(_05706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09516__A (.DIODE(\u_usb_host.u_core.cfg_wr ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08912__B1 (.DIODE(_05723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09522__A (.DIODE(_06202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08919__B1 (.DIODE(_05851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09525__A1 (.DIODE(_00697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08919__B2 (.DIODE(_05831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09526__A1 (.DIODE(net166),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08920__A1_N (.DIODE(_05668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09528__A1 (.DIODE(_00695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08920__B2 (.DIODE(_05668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09529__A1 (.DIODE(_00694_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08926__A (.DIODE(_00728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09532__A1 (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08929__B2 (.DIODE(_05706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09533__A (.DIODE(_00692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08930__A2 (.DIODE(_05724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09535__A (.DIODE(_00691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08930__B1 (.DIODE(_05723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09537__A (.DIODE(_00690_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08931__A (.DIODE(_05667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09538__A1 (.DIODE(_06211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08934__A1_N (.DIODE(_00732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09539__A (.DIODE(_06202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08939__A2_N (.DIODE(_05721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09544__A1 (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08945__A1_N (.DIODE(_00736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09547__A1 (.DIODE(_06211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08949__A2_N (.DIODE(_05721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09552__A (.DIODE(_00705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08950__B2 (.DIODE(_05678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09552__B (.DIODE(_06220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08955__A1_N (.DIODE(_00724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09554__A (.DIODE(_06222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08959__A2_N (.DIODE(_05721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09556__A (.DIODE(_00704_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08960__B2 (.DIODE(_05678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09556__B (.DIODE(_06220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08961__A1 (.DIODE(_05885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09558__A (.DIODE(_06202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08962__A1_N (.DIODE(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09559__A (.DIODE(_00703_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08962__B2 (.DIODE(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09562__A (.DIODE(_00702_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08963__A (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09564__A (.DIODE(_00701_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08972__A1 (.DIODE(_00697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09565__A (.DIODE(_06222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08973__A1 (.DIODE(_00696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09567__A (.DIODE(_00700_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08974__A1 (.DIODE(_00695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09569__A (.DIODE(_00699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08976__A1 (.DIODE(_00694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09569__B (.DIODE(_06202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08979__A1 (.DIODE(_00693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09573__A1 (.DIODE(net193),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08980__A1 (.DIODE(_00692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09574__A (.DIODE(_06222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08981__A1 (.DIODE(_00691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09575__A1 (.DIODE(_00697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08982__A1 (.DIODE(_00690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09576__A1 (.DIODE(net166),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08987__A1 (.DIODE(_00693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09577__A1 (.DIODE(_00695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08988__A1 (.DIODE(_00692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09580__A1 (.DIODE(net209),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08989__A1 (.DIODE(_00691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09581__A (.DIODE(_06222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08990__A1 (.DIODE(_00690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09582__A1 (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08993__A (.DIODE(_05910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09596__A1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08995__A (.DIODE(_00705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09597__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08995__B (.DIODE(_05912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09597__B1 (.DIODE(net151),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08997__A (.DIODE(_05914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09601__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08999__A (.DIODE(_00704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09601__B1 (.DIODE(net154),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08999__B (.DIODE(_05912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09602__B1 (.DIODE(net157),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09001__A (.DIODE(_00703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09603__B1 (.DIODE(net160),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09001__B (.DIODE(_05912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09605__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09004__A (.DIODE(_00702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09605__B1 (.DIODE(net163),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09006__A (.DIODE(_05910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09607__B1 (.DIODE(_00707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09007__A (.DIODE(_00701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09608__B1 (.DIODE(_00706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09008__A (.DIODE(_05914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09613__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09010__A (.DIODE(_00700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09614__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09016__A1 (.DIODE(_00698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09617__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09017__A (.DIODE(_05914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09618__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09018__A (.DIODE(_05910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09619__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09019__A1 (.DIODE(_00697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09621__A1 (.DIODE(_00698_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09020__A1 (.DIODE(_00696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09621__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09021__A1 (.DIODE(_00695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09622__A1 (.DIODE(_00697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09023__A1 (.DIODE(_00694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09622__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09024__A (.DIODE(_05914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09623__A1 (.DIODE(net166),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09025__A (.DIODE(_05910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09623__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09026__A1 (.DIODE(_00693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09624__A (.DIODE(_06220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09027__A1 (.DIODE(_00692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09625__A1 (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09028__A1 (.DIODE(_00691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09625__A2 (.DIODE(_06256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09030__A1 (.DIODE(_00690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09625__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09030__A2 (.DIODE(_05932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09627__A (.DIODE(_06257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09037__B1 (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09629__A1 (.DIODE(_00697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09040__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09629__A2 (.DIODE(_06256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09040__B1 (.DIODE(net102),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09629__A3 (.DIODE(_05695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09041__A1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09629__B2 (.DIODE(_06259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09041__B1 (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09631__A1 (.DIODE(_00696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09042__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09631__A2 (.DIODE(_06256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09042__B1 (.DIODE(net111),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09631__A3 (.DIODE(_05695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09043__B1 (.DIODE(net115),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09631__B2 (.DIODE(_06260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09046__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09633__A1 (.DIODE(_00695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09046__B1 (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09633__A2 (.DIODE(_06256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09047__B1 (.DIODE(net114),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09633__A3 (.DIODE(_05695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09048__B1 (.DIODE(net113),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09633__B2 (.DIODE(_06261_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09049__B1 (.DIODE(net129),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09634__A (.DIODE(_06220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09052__B1 (.DIODE(net116),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09635__A (.DIODE(\u_usb_host.u_core.cfg_wr ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09053__B1 (.DIODE(net118),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09636__A (.DIODE(_06257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09062__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09638__A1 (.DIODE(_00694_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09063__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09638__B2 (.DIODE(_06265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09064__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09640__A1 (.DIODE(_00693_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09065__A1 (.DIODE(net131),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09640__B2 (.DIODE(_06266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09065__A2 (.DIODE(_05932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09642__A1 (.DIODE(_00692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09065__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09642__B2 (.DIODE(_06267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09066__A1 (.DIODE(_00697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09644__A1 (.DIODE(_00691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09066__A2 (.DIODE(_05932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09644__B2 (.DIODE(_06268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09066__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09646__A1 (.DIODE(_00690_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09067__A1 (.DIODE(_00696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09646__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09067__A2 (.DIODE(_05932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09646__B1 (.DIODE(_06257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09067__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09646__B2 (.DIODE(_06269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09069__A1 (.DIODE(_00695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09659__A (.DIODE(_06188_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09069__A2 (.DIODE(_05949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09691__A2_N (.DIODE(\u_usb_host.u_core.send_sof_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09069__B1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09691__B2 (.DIODE(\u_usb_host.u_core.send_sof_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09071__A (.DIODE(_05950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09707__A (.DIODE(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09072__A1 (.DIODE(_00697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09719__A (.DIODE(_06324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09072__A2 (.DIODE(_05949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09793__A (.DIODE(_05805_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09072__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09798__B1 (.DIODE(\u_uart_core.tx_fifo_rd_data[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09072__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09808__A (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09073__A1 (.DIODE(_00696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09809__A (.DIODE(net11),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09073__A2 (.DIODE(_05949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09809__C (.DIODE(net117),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09073__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09842__A (.DIODE(\u_usb_host.u_core.u_sie.data_ready_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09073__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09852__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09074__A1 (.DIODE(_00695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09859__A3 (.DIODE(\u_usb_host.u_core.u_sie.crc_byte_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09074__A2 (.DIODE(_05949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09864__A (.DIODE(_06432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09074__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09925__A (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09074__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09936__B1 (.DIODE(_06482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09075__A (.DIODE(_05912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09936__B2 (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09076__A (.DIODE(_05950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09938__A (.DIODE(_06484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09077__A1 (.DIODE(_00694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09944__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09077__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09952__B1 (.DIODE(\u_usb_host.u_core.u_sie.crc_byte_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09077__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09969__C (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09078__A1 (.DIODE(_00693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09975__A2 (.DIODE(\u_usb_host.u_core.u_sie.data_ready_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09078__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09975__B1 (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09078__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09979__B (.DIODE(_06517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09079__A1 (.DIODE(_00692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09980__A (.DIODE(_06517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09079__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09981__C (.DIODE(_06484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09079__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09984__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09080__A1 (.DIODE(_00691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09984__B1 (.DIODE(\u_usb_host.u_core.u_sie.data_ready_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09080__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09988__A (.DIODE(_06517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09080__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10031__A (.DIODE(_06564_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09082__A1 (.DIODE(_00690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10043__A (.DIODE(_06564_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09082__A2 (.DIODE(_05954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10058__C1 (.DIODE(_06517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09082__A3 (.DIODE(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10085__C1 (.DIODE(_06564_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09082__B1 (.DIODE(_05950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10095__B1 (.DIODE(_06484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09082__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10095__C1 (.DIODE(_06432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09095__A (.DIODE(_05885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10136__B (.DIODE(_06644_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09125__A2 (.DIODE(_05986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10141__A2 (.DIODE(_06646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09127__A2_N (.DIODE(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10206__B1 (.DIODE(_00628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09127__B2 (.DIODE(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10208__B1 (.DIODE(_00626_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09140__A (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10210__B1 (.DIODE(_00624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09142__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10212__B1 (.DIODE(_00622_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09153__A (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10216__B1 (.DIODE(_00620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09155__A (.DIODE(_06009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10218__B1 (.DIODE(_00618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09159__A (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10220__B1 (.DIODE(_00616_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09161__A (.DIODE(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10222__B1 (.DIODE(_00614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09163__A (.DIODE(_06016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10236__A1 (.DIODE(_06188_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09168__A (.DIODE(_05714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10245__A (.DIODE(_06720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09171__A (.DIODE(_06016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10246__B1 (.DIODE(_00806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09193__A (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10256__A (.DIODE(\u_usb_host.u_core.u_sie.crc_byte_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09201__A1 (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10257__B1 (.DIODE(_06731_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09202__A1 (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10267__B (.DIODE(_06741_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09205__A2 (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10268__A (.DIODE(_06720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09209__A (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10274__A (.DIODE(_06747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09210__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10276__A3 (.DIODE(_06741_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09214__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10307__A (.DIODE(_06775_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09225__A2 (.DIODE(net13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10312__A (.DIODE(_06780_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09229__B1 (.DIODE(\u_uart_core.tx_fifo_rd_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10313__B (.DIODE(_06781_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09231__B1 (.DIODE(\u_uart_core.tx_fifo_rd_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10316__B1 (.DIODE(_05988_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09233__B1 (.DIODE(\u_uart_core.tx_fifo_rd_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10316__C1 (.DIODE(_06130_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09235__B1 (.DIODE(\u_uart_core.tx_fifo_rd_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10317__A (.DIODE(_06785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09236__B1 (.DIODE(\u_uart_core.tx_fifo_rd_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10318__A (.DIODE(_06720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09237__B1 (.DIODE(\u_uart_core.tx_fifo_rd_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10318__B (.DIODE(_06785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09238__B1 (.DIODE(\u_uart_core.tx_fifo_rd_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10321__A (.DIODE(_06785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09241__A (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10323__B1 (.DIODE(_06789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09242__A (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10332__B1 (.DIODE(_06789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09242__C (.DIODE(net175),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10343__B (.DIODE(_06789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09277__A (.DIODE(\u_usb_host.u_core.u_sie.data_ready_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10345__B (.DIODE(_06785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09279__A (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10362__B1 (.DIODE(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09286__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10363__A1 (.DIODE(_06720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09294__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_byte_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10379__A (.DIODE(\u_usb_host.u_core.send_sof_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09299__A (.DIODE(_06116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10389__A1 (.DIODE(_06188_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09353__B1 (.DIODE(\u_usb_host.u_core.u_sie.wait_resp_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10389__A2 (.DIODE(_06013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09354__B (.DIODE(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10422__A1 (.DIODE(_06852_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09362__A (.DIODE(_06157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10424__A1 (.DIODE(_06324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09365__B2 (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10425__A1 (.DIODE(_06852_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09370__A (.DIODE(\u_usb_host.u_core.u_sie.wait_resp_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10427__A1 (.DIODE(_06324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09371__A2 (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10449__B2 (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09372__B (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10450__B2 (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09375__B1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10459__A (.DIODE(_06874_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09376__B1 (.DIODE(\u_usb_host.u_core.u_sie.crc_byte_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10469__A (.DIODE(_06324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09378__D (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10475__A (.DIODE(_05770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09385__C (.DIODE(_05763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10475__B (.DIODE(_06885_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09385__D (.DIODE(_05770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10477__A (.DIODE(_05624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09386__A1 (.DIODE(_05725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10480__B1 (.DIODE(_06888_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09386__B2 (.DIODE(_05751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10481__A (.DIODE(_05765_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09387__A (.DIODE(_05733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10482__A (.DIODE(_05770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09388__B (.DIODE(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10482__B (.DIODE(_06891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09392__A (.DIODE(\u_usb_host.u_core.u_sie.data_ready_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10484__A (.DIODE(_05642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09392__B (.DIODE(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10486__B1 (.DIODE(_06894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09393__A1 (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10487__A (.DIODE(_05640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09393__A3 (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10488__B1 (.DIODE(_06896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09400__D (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10489__A (.DIODE(_05638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09402__A (.DIODE(_06157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10490__B1 (.DIODE(_06897_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09403__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10491__A (.DIODE(_05765_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09403__B1 (.DIODE(\u_usb_host.u_core.u_sie.data_ready_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10491__B (.DIODE(_05645_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09409__A (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10491__C (.DIODE(_05770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09410__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10493__A (.DIODE(net36),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09465__A2 (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10497__B1 (.DIODE(_06901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09499__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10498__B1 (.DIODE(_06894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09512__B1 (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10499__B1 (.DIODE(_06896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09512__C1 (.DIODE(_06116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10501__B1 (.DIODE(_06897_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09513__A (.DIODE(_06278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10503__A (.DIODE(_05635_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09518__A (.DIODE(_06283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10505__B1 (.DIODE(_06906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09521__A (.DIODE(_06278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10506__B1 (.DIODE(_06901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09521__B (.DIODE(_06286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10507__A (.DIODE(_05630_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09522__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10508__B1 (.DIODE(_06908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09547__B (.DIODE(_06304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10509__A (.DIODE(_05628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09548__A (.DIODE(_06305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10510__B1 (.DIODE(_06909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09549__A (.DIODE(_06278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10511__A (.DIODE(_05805_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09550__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10511__B (.DIODE(_05806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09550__B (.DIODE(_06278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10511__C (.DIODE(\u_uart_core.reg_ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09552__A2 (.DIODE(_06306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10515__A (.DIODE(_00793_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09583__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10516__A (.DIODE(_05809_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09603__A (.DIODE(_06348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10519__A (.DIODE(_06885_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09604__A1 (.DIODE(\u_usb_host.u_core.u_sie.wait_resp_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10522__A1 (.DIODE(\u_uart_core.reg_rdata[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09605__B (.DIODE(_06348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10523__A (.DIODE(_00788_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09608__A1 (.DIODE(_05725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10527__A1 (.DIODE(\u_uart_core.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09608__B1 (.DIODE(_00628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10528__A (.DIODE(_00783_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09610__A1 (.DIODE(_05733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10531__A1 (.DIODE(\u_uart_core.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09610__B1 (.DIODE(_00626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10532__A1 (.DIODE(\u_uart_core.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09612__A1 (.DIODE(_05738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10535__A1 (.DIODE(\u_uart_core.reg_rdata[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09612__B1 (.DIODE(_00624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10536__A1 (.DIODE(\u_uart_core.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09613__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10537__A1 (.DIODE(\u_uart_core.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09614__A (.DIODE(_06348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10538__A1 (.DIODE(\u_uart_core.reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09615__A1 (.DIODE(_05745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10539__B1 (.DIODE(_06894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09615__B1 (.DIODE(_00622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10540__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09618__A1 (.DIODE(_05751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10540__B1 (.DIODE(_06896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09618__B1 (.DIODE(_00620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10541__A1 (.DIODE(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09620__A1 (.DIODE(_05758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10541__B1 (.DIODE(_06897_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09620__B1 (.DIODE(_00618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10542__B1 (.DIODE(_06906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09622__A1 (.DIODE(_05763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10543__B1 (.DIODE(_06906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09622__B1 (.DIODE(_00616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10597__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09623__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10599__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09624__A1 (.DIODE(_05770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10600__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09624__B1 (.DIODE(_00614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10602__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09624__B2 (.DIODE(_06348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10607__A (.DIODE(_05810_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09638__A1 (.DIODE(_05885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10610__B1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09638__C1 (.DIODE(_06016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10611__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09642__A1 (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10613__B1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09642__B2 (.DIODE(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10614__A (.DIODE(_05810_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09645__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10617__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09645__A2 (.DIODE(_06369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10618__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09646__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10680__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09647__B1 (.DIODE(net119),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10681__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09657__A (.DIODE(\u_usb_host.u_core.u_sie.crc_byte_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10683__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09657__C (.DIODE(_06016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10685__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09658__B1 (.DIODE(_06381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10699__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09659__A (.DIODE(_06382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10701__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09669__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10712__A1 (.DIODE(_05624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09671__A (.DIODE(_06382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10714__A1 (.DIODE(_05628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09672__D (.DIODE(_06395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10716__A1 (.DIODE(_05630_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09674__A (.DIODE(_06395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10718__A1 (.DIODE(_05632_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09710__B1 (.DIODE(_05690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10720__A (.DIODE(net32),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09710__C1 (.DIODE(_05828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10723__A3 (.DIODE(_07043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09711__A (.DIODE(_06428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10724__A (.DIODE(net25),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09712__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10726__A3 (.DIODE(_07046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09712__B (.DIODE(_06428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10727__A (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09715__A (.DIODE(_06428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10729__A3 (.DIODE(_07048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09734__A (.DIODE(_06445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10740__A1 (.DIODE(_06482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09735__A (.DIODE(_06395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10745__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09735__B (.DIODE(_06428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10745__A2 (.DIODE(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09736__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10747__C (.DIODE(_06484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09750__A1 (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10764__A (.DIODE(_06013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09759__B1 (.DIODE(_06369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10804__B1 (.DIODE(\u_usb_host.out_tx_oen ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09762__B1 (.DIODE(_05986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10808__A1 (.DIODE(_06852_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09764__B1 (.DIODE(_06369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10813__A2 (.DIODE(_06874_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09766__A (.DIODE(_05986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10813__B1 (.DIODE(_06013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09770__A (.DIODE(_05986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10814__A (.DIODE(_07112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09772__C1 (.DIODE(_06369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10818__A (.DIODE(_07112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09776__A1 (.DIODE(_05885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10823__A (.DIODE(_07112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09776__A2 (.DIODE(_05714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10832__C1 (.DIODE(_07112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09798__A (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10858__B1 (.DIODE(_06888_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09804__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10859__B1 (.DIODE(_06909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09810__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10860__B1 (.DIODE(_06908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09812__A1 (.DIODE(_06009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10861__B1 (.DIODE(_06901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09813__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10864__B1 (.DIODE(_06906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09815__A1 (.DIODE(_06009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10865__B1 (.DIODE(_06897_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09816__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10866__B1 (.DIODE(_06896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09817__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10867__B1 (.DIODE(_06894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09818__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10870__A (.DIODE(_07146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09834__A (.DIODE(_06506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10872__A (.DIODE(_07146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09836__A (.DIODE(_06506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10881__A (.DIODE(_07146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09837__B2 (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10884__B1 (.DIODE(_07155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09838__B2 (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10892__A (.DIODE(_07160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09842__A2 (.DIODE(_06506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10893__A (.DIODE(_07160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09843__A2 (.DIODE(_06506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10895__B1 (.DIODE(_06888_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09847__A (.DIODE(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10896__B1 (.DIODE(_06909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09857__A (.DIODE(_06009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10897__B1 (.DIODE(_06908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09862__A (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10898__B1 (.DIODE(_06901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09863__A (.DIODE(_05503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10899__A (.DIODE(_07160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09867__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10900__A (.DIODE(_05635_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09868__A (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10903__A (.DIODE(_05638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09869__A (.DIODE(_05503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10905__A (.DIODE(_05640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09872__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10907__A (.DIODE(_05642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09873__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10921__B1 (.DIODE(_07155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09874__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10929__B1 (.DIODE(_06888_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09875__A (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10930__B1 (.DIODE(_06909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09875__C (.DIODE(_05503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10931__B1 (.DIODE(_06908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09879__A1 (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10946__A (.DIODE(_07187_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09879__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10952__A (.DIODE(_07187_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09880__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10958__A (.DIODE(_07187_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09881__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10965__A (.DIODE(_07194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09882__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10968__A (.DIODE(_07196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09885__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10972__A (.DIODE(_07194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09886__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10974__A (.DIODE(_07196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09887__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10978__A (.DIODE(_07194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09888__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10979__B2 (.DIODE(_07200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09889__A (.DIODE(net137),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10980__A (.DIODE(_07196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09889__B (.DIODE(_05538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10981__B2 (.DIODE(_07200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09893__A (.DIODE(_00793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10982__B2 (.DIODE(_07200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09898__A1 (.DIODE(_06541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10983__B2 (.DIODE(_07200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09899__B2 (.DIODE(_06546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10984__A (.DIODE(_07194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09903__A1 (.DIODE(_06547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10986__A (.DIODE(_07196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09904__B2 (.DIODE(_06550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10990__B2 (.DIODE(_07187_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09905__A (.DIODE(_00783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10992__A (.DIODE(_07204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09907__A1 (.DIODE(_06551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10993__A (.DIODE(_07204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09908__B2 (.DIODE(_06553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10999__A (.DIODE(_07204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09909__B1 (.DIODE(\u_uart_core.u_cfg.reg_out[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11001__B1 (.DIODE(_07155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09912__B1 (.DIODE(\u_uart_core.u_cfg.reg_out[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11008__A (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09913__B1 (.DIODE(\u_uart_core.u_cfg.reg_out[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11013__A (.DIODE(net38),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09914__B1 (.DIODE(\u_uart_core.u_cfg.reg_out[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11015__B1 (.DIODE(_07218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09915__B1 (.DIODE(\u_uart_core.u_cfg.reg_out[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11016__A (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09916__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11018__B1 (.DIODE(_07220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09917__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11028__A (.DIODE(_07224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09917__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11029__A (.DIODE(_07224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09918__A1 (.DIODE(\u_uart_core.cfg_stop_bit ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11035__A (.DIODE(_07224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09918__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11037__B1 (.DIODE(_07155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09919__A1 (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11044__A (.DIODE(_07232_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09919__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11045__A (.DIODE(_07232_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09920__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11048__B1 (.DIODE(_07218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09922__A (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11049__B1 (.DIODE(_07220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09929__A (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11051__A (.DIODE(_07232_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09941__B1 (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11064__B1 (.DIODE(_07243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09951__C1 (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11068__B1 (.DIODE(_07245_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09952__A1 (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11080__B1 (.DIODE(_07243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09953__B1 (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11084__B1 (.DIODE(_07245_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09956__A2 (.DIODE(_06583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11090__B1 (.DIODE(_07218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09956__B1 (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11092__B1 (.DIODE(_07220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09963__A2 (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11095__A (.DIODE(_05635_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09963__A3 (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11096__B1 (.DIODE(_07256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09969__B1 (.DIODE(_06583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11098__B1 (.DIODE(_07257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09972__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11100__B1 (.DIODE(_07258_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09974__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11102__B1 (.DIODE(_07259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09975__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11110__B1 (.DIODE(_07218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09977__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11111__B1 (.DIODE(_07220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09982__A (.DIODE(_05542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11113__B1 (.DIODE(_07266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09985__B1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11116__B1 (.DIODE(_07256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09986__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11117__B1 (.DIODE(_07257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09988__B1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11118__B1 (.DIODE(_07258_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09992__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11119__B1 (.DIODE(_07259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09993__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11121__A (.DIODE(_07269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09995__C1 (.DIODE(_05542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11122__B2 (.DIODE(_05691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10021__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11124__B1 (.DIODE(_07271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10021__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11124__B2 (.DIODE(_05691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10030__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11127__B1 (.DIODE(_07273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10031__A1 (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11127__B2 (.DIODE(_05691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10032__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11130__B1 (.DIODE(_07274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10037__A1 (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11130__B2 (.DIODE(_07275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10044__B1 (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11132__B1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10051__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11132__B2 (.DIODE(_07275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10054__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11133__B1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10056__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11133__B2 (.DIODE(_07275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10059__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11136__B2 (.DIODE(_07275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10060__A1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11151__B1 (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10061__A1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11159__B1 (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10063__A1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11161__B1 (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10068__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11163__B1 (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10070__A (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11172__B1 (.DIODE(_07266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10073__B1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11173__B1 (.DIODE(_07256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10079__A1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11174__B1 (.DIODE(_07257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10080__A1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11175__B1 (.DIODE(_07258_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10081__A1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11176__B1 (.DIODE(_07259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10083__A1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11206__A (.DIODE(_07305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10086__A3 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11208__A (.DIODE(_07307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10087__A3 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11213__A (.DIODE(_07305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10088__A3 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11214__A (.DIODE(_07307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10100__C (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11219__A (.DIODE(_07305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10103__B1 (.DIODE(_06669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11220__A (.DIODE(_07307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10107__D (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11225__A (.DIODE(_07305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10109__A (.DIODE(_06674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11226__A (.DIODE(_07307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10124__A (.DIODE(_06674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11232__A (.DIODE(_07315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10125__A (.DIODE(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11233__A (.DIODE(_07315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10137__A (.DIODE(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11238__B1 (.DIODE(_07266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10159__B (.DIODE(_06674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11239__A (.DIODE(_07315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10163__B1 (.DIODE(\u_usb_host.out_tx_oen ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11241__B1 (.DIODE(_07256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10166__A (.DIODE(_06717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11242__B1 (.DIODE(_07257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10167__B (.DIODE(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11243__B1 (.DIODE(_07258_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10168__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11244__B1 (.DIODE(_07259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10170__A (.DIODE(_06720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11245__A (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10174__B1 (.DIODE(_05714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11247__C (.DIODE(_07322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10214__A (.DIODE(_06583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11253__A (.DIODE(_07325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10214__B (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11253__B (.DIODE(_07328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10218__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11255__A (.DIODE(_06259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10219__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11258__B1 (.DIODE(_07331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10220__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11259__A (.DIODE(_06260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10221__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11260__B1 (.DIODE(_07334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10224__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11261__A (.DIODE(_06261_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10225__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11262__B1 (.DIODE(_07335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10226__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11263__A (.DIODE(_06265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10227__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11264__B1 (.DIODE(_07336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10228__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11266__A (.DIODE(_06266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10236__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11268__B1 (.DIODE(_07338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10237__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11269__A (.DIODE(_06267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10238__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11270__B1 (.DIODE(_07340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10239__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11271__A (.DIODE(_06268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10242__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11272__B1 (.DIODE(_07341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10243__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11273__A (.DIODE(_06269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10244__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11274__B1 (.DIODE(_07342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10245__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11277__A (.DIODE(_07344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10246__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11278__A (.DIODE(_07343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10250__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11278__B (.DIODE(_07345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10251__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11282__B1 (.DIODE(_07331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10252__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11283__B1 (.DIODE(_07334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10253__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11284__B1 (.DIODE(_07335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10256__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11285__B1 (.DIODE(_07336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10257__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11288__B1 (.DIODE(_07338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10258__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11289__B1 (.DIODE(_07340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10259__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11290__B1 (.DIODE(_07341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10260__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11291__B1 (.DIODE(_07342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10260__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11294__A (.DIODE(_07325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10264__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11294__B (.DIODE(_07353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10265__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11298__B1 (.DIODE(_07331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10266__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11299__B1 (.DIODE(_07334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10267__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11300__B1 (.DIODE(_07335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10270__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11301__B1 (.DIODE(_07336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10271__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11304__B1 (.DIODE(_07338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10272__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11305__B1 (.DIODE(_07340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10273__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11306__B1 (.DIODE(_07341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10279__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11307__B1 (.DIODE(_07342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10280__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11309__A (.DIODE(_07325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10281__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11309__B (.DIODE(_07360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10282__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11313__B1 (.DIODE(_07331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10285__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11314__B1 (.DIODE(_07334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10286__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11315__B1 (.DIODE(_07335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10287__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11316__B1 (.DIODE(_07336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10288__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11319__B1 (.DIODE(_07338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10291__A (.DIODE(_05367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11320__B1 (.DIODE(_07340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10297__A (.DIODE(_05367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11321__B1 (.DIODE(_07341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10303__A (.DIODE(_05367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11322__B1 (.DIODE(_07342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10344__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11326__A (.DIODE(_07325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10345__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11326__B (.DIODE(_07369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10346__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11328__A (.DIODE(_06259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10347__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11332__A (.DIODE(_06260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10350__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11333__B1 (.DIODE(_07375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10351__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11334__A (.DIODE(_06261_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10352__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11335__B1 (.DIODE(_07376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10353__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11336__A (.DIODE(_06265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10354__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11339__A (.DIODE(_06266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10354__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11341__B1 (.DIODE(_07379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10355__A (.DIODE(_06809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11342__A (.DIODE(_06267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10356__A (.DIODE(_06809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11343__B1 (.DIODE(_07381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10358__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11344__A (.DIODE(_06268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10359__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11345__B1 (.DIODE(_07382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10360__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11346__A (.DIODE(_06269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10361__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11347__B1 (.DIODE(_07383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10362__A (.DIODE(_06809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11349__B (.DIODE(_07384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10364__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11354__B1 (.DIODE(_07375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10365__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11355__B1 (.DIODE(_07376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10366__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11359__B1 (.DIODE(_07379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10367__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11360__B1 (.DIODE(_07381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10373__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11361__B1 (.DIODE(_07382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10374__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11362__B1 (.DIODE(_07383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10375__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11364__B (.DIODE(_07391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10376__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11369__B1 (.DIODE(_07375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10379__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11370__B1 (.DIODE(_07376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10380__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11374__B1 (.DIODE(_07379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10381__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11375__B1 (.DIODE(_07381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10382__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11376__B1 (.DIODE(_07382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10385__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11377__B1 (.DIODE(_07383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10389__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11379__B (.DIODE(_07398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10390__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11384__B1 (.DIODE(_07375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10391__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11385__B1 (.DIODE(_07376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10392__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11389__B1 (.DIODE(_07379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10395__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11390__B1 (.DIODE(_07381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10396__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11391__B1 (.DIODE(_07382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10397__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11392__B1 (.DIODE(_07383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10398__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11394__A (.DIODE(_07405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10399__B (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11394__B (.DIODE(_07344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10403__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11396__A (.DIODE(_06259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10404__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11399__B1 (.DIODE(_07408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10405__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11400__A (.DIODE(_06260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10406__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11401__B1 (.DIODE(_07411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10409__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11402__A (.DIODE(_06261_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10410__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11403__B1 (.DIODE(_07412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10411__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11404__A (.DIODE(_06265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10412__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11405__B1 (.DIODE(_07413_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10413__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11407__A (.DIODE(_06266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10414__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11409__B1 (.DIODE(_07415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10415__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11410__A (.DIODE(_06267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10418__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11411__B1 (.DIODE(_07417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10419__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11412__A (.DIODE(_06268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10420__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11413__B1 (.DIODE(_07418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10421__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11414__A (.DIODE(_06269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10422__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11415__B1 (.DIODE(_07419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10423__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11416__A (.DIODE(_07344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10424__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11418__A (.DIODE(_07420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10425__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11418__B (.DIODE(_07421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10428__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11422__B1 (.DIODE(_07408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10429__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11423__B1 (.DIODE(_07411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10430__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11424__B1 (.DIODE(_07412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10431__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11425__B1 (.DIODE(_07413_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10432__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11428__B1 (.DIODE(_07415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10434__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11429__B1 (.DIODE(_07417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10434__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11430__B1 (.DIODE(_07418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10435__A (.DIODE(_06841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11431__B1 (.DIODE(_07419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10436__A (.DIODE(_06841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11433__A (.DIODE(_07420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10438__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11433__B (.DIODE(_07428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10439__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11437__B1 (.DIODE(_07408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10440__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11438__B1 (.DIODE(_07411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10441__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11439__B1 (.DIODE(_07412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10442__A (.DIODE(_06841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11440__B1 (.DIODE(_07413_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10444__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11443__B1 (.DIODE(_07415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10445__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11444__B1 (.DIODE(_07417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10446__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11445__B1 (.DIODE(_07418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10447__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11446__B1 (.DIODE(_07419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10448__B1 (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11449__A (.DIODE(_07420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10449__B1 (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11449__B (.DIODE(_07436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10451__B1 (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11453__B1 (.DIODE(_07408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10454__B1 (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11454__B1 (.DIODE(_07411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10456__B1 (.DIODE(net34),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11455__B1 (.DIODE(_07412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10457__B1 (.DIODE(net33),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11456__B1 (.DIODE(_07413_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10460__B1 (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11459__B1 (.DIODE(_07415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10462__B1 (.DIODE(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11460__B1 (.DIODE(_07417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10475__B1 (.DIODE(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11461__B1 (.DIODE(_07418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10481__B1 (.DIODE(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11462__B1 (.DIODE(_07419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10482__B1 (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11464__A (.DIODE(_07420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10486__B1 (.DIODE(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11464__B (.DIODE(_07443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10487__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11467__A (.DIODE(_07446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10488__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11468__A (.DIODE(_07447_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10490__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11471__B1 (.DIODE(_07448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10492__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11473__A (.DIODE(_07451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10493__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11474__A (.DIODE(_07452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10494__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11475__B1 (.DIODE(_07453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10495__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11477__A (.DIODE(_07454_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10496__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11479__B1 (.DIODE(_07456_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10528__A (.DIODE(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11481__A (.DIODE(_07457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10534__A (.DIODE(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11482__A (.DIODE(_07458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10540__A (.DIODE(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11483__B1 (.DIODE(_07459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10546__A (.DIODE(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11486__A (.DIODE(_07461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10551__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11487__A (.DIODE(_07462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10555__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11489__B1 (.DIODE(_07463_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10556__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11491__A (.DIODE(_07465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10557__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11493__B1 (.DIODE(_07467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10558__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11495__A (.DIODE(_07468_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10561__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11496__A (.DIODE(_07469_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10562__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11497__B1 (.DIODE(_07470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10563__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11499__A (.DIODE(_07471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10564__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11500__A (.DIODE(_07472_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10565__A (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11501__B1 (.DIODE(_07473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10567__C (.DIODE(_06892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11503__A (.DIODE(_07345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10569__A (.DIODE(_06894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11503__B (.DIODE(_07474_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10573__A (.DIODE(_06895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11507__B1 (.DIODE(_07448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10574__A (.DIODE(_06899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11508__B1 (.DIODE(_07453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10575__A (.DIODE(_06899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11509__B1 (.DIODE(_07456_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10577__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11510__B1 (.DIODE(_07459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10578__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11513__B1 (.DIODE(_07463_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10579__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11514__B1 (.DIODE(_07467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10580__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11515__B1 (.DIODE(_07470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10581__A (.DIODE(_06899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11516__B1 (.DIODE(_07473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10583__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11518__A (.DIODE(_07345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10584__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11518__B (.DIODE(_07481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10585__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11522__B1 (.DIODE(_07448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10586__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11523__B1 (.DIODE(_07453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10590__B (.DIODE(_06907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11524__B1 (.DIODE(_07456_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10594__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11525__B1 (.DIODE(_07459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10595__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11528__B1 (.DIODE(_07463_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10596__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11529__B1 (.DIODE(_07467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10597__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11530__B1 (.DIODE(_07470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10600__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11531__B1 (.DIODE(_07473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10601__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11533__A (.DIODE(_07345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10602__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11533__B (.DIODE(_07488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10603__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11537__B1 (.DIODE(_07448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10606__A (.DIODE(_06895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11538__B1 (.DIODE(_07453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10610__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11539__B1 (.DIODE(_07456_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10611__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11540__B1 (.DIODE(_07459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10612__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11543__B1 (.DIODE(_07463_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10613__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11544__B1 (.DIODE(_07467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10616__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11545__B1 (.DIODE(_07470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10617__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11546__B1 (.DIODE(_07473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10618__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11547__A (.DIODE(_07322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10619__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11548__C (.DIODE(_07495_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10621__A (.DIODE(_06895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11549__B (.DIODE(_07496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10621__B (.DIODE(_06922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11550__A (.DIODE(_07497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10625__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11551__A (.DIODE(_07343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10626__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11553__A (.DIODE(_07447_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10627__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11556__B1 (.DIODE(_07501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10628__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11557__A (.DIODE(_07452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10631__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11558__B1 (.DIODE(_07504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10632__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11560__B1 (.DIODE(_07505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10633__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11561__A (.DIODE(_07458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10634__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11562__B1 (.DIODE(_07506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10637__A (.DIODE(_06895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11564__A (.DIODE(_07462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10637__B (.DIODE(_06930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11566__B1 (.DIODE(_07508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10641__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11568__B1 (.DIODE(_07510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10642__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11569__A (.DIODE(_07469_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10643__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11570__B1 (.DIODE(_07511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10644__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11571__A (.DIODE(_07472_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10647__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11572__B1 (.DIODE(_07512_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10648__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11573__A (.DIODE(_07496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10649__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11575__A (.DIODE(_07353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10650__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11576__A (.DIODE(_07515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10651__D (.DIODE(_06286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11577__A (.DIODE(_07515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10652__A (.DIODE(_06894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11579__B1 (.DIODE(_07501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10652__B (.DIODE(_06937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11580__B1 (.DIODE(_07504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10656__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11581__B1 (.DIODE(_07505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10657__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11582__B1 (.DIODE(_07506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10658__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11583__A (.DIODE(_07515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10659__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11585__B1 (.DIODE(_07508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10662__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11586__B1 (.DIODE(_07510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10663__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11587__B1 (.DIODE(_07511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10664__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11588__B1 (.DIODE(_07512_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10665__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11589__A (.DIODE(_07328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10666__C (.DIODE(_06286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11593__B1 (.DIODE(_07501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10667__A (.DIODE(_06894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11594__B1 (.DIODE(_07504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10667__B (.DIODE(_06944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11595__B1 (.DIODE(_07505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10671__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11596__B1 (.DIODE(_07506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10672__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11599__B1 (.DIODE(_07508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10673__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11600__B1 (.DIODE(_07510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10674__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11601__B1 (.DIODE(_07511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10677__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11602__B1 (.DIODE(_07512_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10678__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11603__A (.DIODE(_07360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10679__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11607__B1 (.DIODE(_07501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10680__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11608__B1 (.DIODE(_07504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10681__C (.DIODE(_06286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11609__B1 (.DIODE(_07505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10682__A (.DIODE(_06894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11610__B1 (.DIODE(_07506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10682__B (.DIODE(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11613__B1 (.DIODE(_07508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10686__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11614__B1 (.DIODE(_07510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10687__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11615__B1 (.DIODE(_07511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10688__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11616__B1 (.DIODE(_07512_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10689__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11617__A (.DIODE(_07369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10692__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11618__A (.DIODE(_07533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10693__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11619__A (.DIODE(_07447_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10694__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11620__A (.DIODE(_07533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10695__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11623__A (.DIODE(_07452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10698__A (.DIODE(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11627__A (.DIODE(_07458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10699__A (.DIODE(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11629__A (.DIODE(_07533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10701__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11630__A (.DIODE(_07462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10702__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11634__B1 (.DIODE(_07544_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10703__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11635__A (.DIODE(_07469_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10704__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11637__A (.DIODE(_07472_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10705__A (.DIODE(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11639__A (.DIODE(_07384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10707__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11650__B1 (.DIODE(_07544_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10708__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11653__A (.DIODE(_07391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10709__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11664__B1 (.DIODE(_07544_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10710__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11667__A (.DIODE(_07398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10713__B (.DIODE(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11678__B1 (.DIODE(_07544_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10717__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11681__A (.DIODE(_07405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10718__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11683__A (.DIODE(_07447_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10719__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11687__A (.DIODE(_07452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10720__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11688__B1 (.DIODE(_07570_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10723__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11690__B1 (.DIODE(_07571_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10724__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11691__A (.DIODE(_07458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10725__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11694__A (.DIODE(_07462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10726__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11696__B1 (.DIODE(_07574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10728__B (.DIODE(_06973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11698__B1 (.DIODE(_07576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10732__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11699__A (.DIODE(_07469_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10733__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11700__B1 (.DIODE(_07577_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10734__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11701__A (.DIODE(_07472_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10735__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11702__B1 (.DIODE(_07578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10738__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11703__A (.DIODE(_07421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10739__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11708__B1 (.DIODE(_07570_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10740__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11709__B1 (.DIODE(_07571_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10741__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11713__B1 (.DIODE(_07574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10743__B (.DIODE(_06980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11714__B1 (.DIODE(_07576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10744__A (.DIODE(_06981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11715__B1 (.DIODE(_07577_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10745__A (.DIODE(_06981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11716__B1 (.DIODE(_07578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10747__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11717__A (.DIODE(_07428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10748__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11722__B1 (.DIODE(_07570_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10749__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11723__B1 (.DIODE(_07571_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10750__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11727__B1 (.DIODE(_07574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10751__A (.DIODE(_06981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11728__B1 (.DIODE(_07576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10753__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11729__B1 (.DIODE(_07577_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10754__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11730__B1 (.DIODE(_07578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10755__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11731__A (.DIODE(_07497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10756__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11732__A (.DIODE(_07436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10758__B (.DIODE(_06987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11736__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10762__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11737__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10763__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11737__B1 (.DIODE(_07570_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10764__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11738__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10765__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11738__B1 (.DIODE(_07571_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10768__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11739__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10769__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11742__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10770__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11742__B1 (.DIODE(_07574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10771__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11743__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10773__A (.DIODE(_06907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11743__B1 (.DIODE(_07576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10773__B (.DIODE(_06994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11744__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10774__A (.DIODE(_06995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11744__B1 (.DIODE(_07577_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10775__A (.DIODE(_06995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11745__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10777__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11745__B1 (.DIODE(_07578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10778__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11746__A (.DIODE(_07443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10779__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11748__A (.DIODE(_07446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10780__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11749__A (.DIODE(_07600_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10781__A (.DIODE(_06995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11752__B1 (.DIODE(_07601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10783__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11753__A (.DIODE(_07451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10784__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11754__A (.DIODE(_07604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10785__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11755__B1 (.DIODE(_07605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10786__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11756__A (.DIODE(_07454_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10788__A (.DIODE(_06907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11757__A (.DIODE(_07606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10788__B (.DIODE(_07001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11758__B1 (.DIODE(_07607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10792__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11759__A (.DIODE(_07457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10793__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11760__A (.DIODE(_07608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10794__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11761__B1 (.DIODE(_07609_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10795__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11763__A (.DIODE(_07461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10798__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11764__A (.DIODE(_07611_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10799__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11766__B1 (.DIODE(_07612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10800__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11767__A (.DIODE(_07465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10801__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11768__A (.DIODE(_07614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10803__A (.DIODE(_06907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11769__B1 (.DIODE(_07615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10807__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11770__A (.DIODE(_07468_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10808__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11771__A (.DIODE(_07616_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10809__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11772__B1 (.DIODE(_07617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10810__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11773__A (.DIODE(_07471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10813__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11774__A (.DIODE(_07618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10814__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11775__B1 (.DIODE(_07619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10815__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11776__A (.DIODE(_07474_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10816__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11780__B1 (.DIODE(_07601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10817__A (.DIODE(_06892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11781__B1 (.DIODE(_07605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10818__C (.DIODE(_07015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11782__B1 (.DIODE(_07607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10821__B (.DIODE(_07018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11783__B1 (.DIODE(_07609_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10825__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11786__B1 (.DIODE(_07612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10826__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11787__B1 (.DIODE(_07615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10827__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11788__B1 (.DIODE(_07617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10828__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11789__B1 (.DIODE(_07619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10831__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11790__A (.DIODE(_07481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10832__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11794__B1 (.DIODE(_07601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10833__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11795__B1 (.DIODE(_07605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10834__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11796__B1 (.DIODE(_07607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10836__A (.DIODE(_07025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11797__B1 (.DIODE(_07609_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10838__A (.DIODE(_07027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11800__B1 (.DIODE(_07612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10839__A (.DIODE(_07027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11801__B1 (.DIODE(_07615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10841__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11802__B1 (.DIODE(_07617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10842__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11803__B1 (.DIODE(_07619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10843__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11804__A (.DIODE(_07488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10844__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11804__B (.DIODE(_07497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10845__A (.DIODE(_07027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11808__B1 (.DIODE(_07601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10847__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11809__B1 (.DIODE(_07605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10848__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11810__B1 (.DIODE(_07607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10849__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11811__B1 (.DIODE(_07609_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10850__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11814__B1 (.DIODE(_07612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10855__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11815__B1 (.DIODE(_07615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10856__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11816__B1 (.DIODE(_07617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10857__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11817__B1 (.DIODE(_07619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10858__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11818__A (.DIODE(_07495_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10861__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11819__B (.DIODE(_07638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10862__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11821__A (.DIODE(_07343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10863__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11821__B (.DIODE(_07640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10864__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11823__A (.DIODE(_07600_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10865__A (.DIODE(_06922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11827__A (.DIODE(_07604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10869__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11829__A (.DIODE(_07606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10870__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11831__A (.DIODE(_07608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10871__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11834__A (.DIODE(_07611_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10872__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11837__A (.DIODE(_07614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10875__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11838__B1 (.DIODE(_07652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10876__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11839__A (.DIODE(_07616_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10877__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11841__A (.DIODE(_07618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10878__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11843__A (.DIODE(_07638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10879__A (.DIODE(_06930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11845__A (.DIODE(_07353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10883__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11856__B1 (.DIODE(_07652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10884__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11859__A (.DIODE(_07328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10885__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11870__B1 (.DIODE(_07652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10886__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11873__A (.DIODE(_07360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10889__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11884__B1 (.DIODE(_07652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10890__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11887__A (.DIODE(_07369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10891__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11889__A (.DIODE(_07600_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10892__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11893__A (.DIODE(_07604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10893__A (.DIODE(_06937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11895__A (.DIODE(_07606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10893__B (.DIODE(_07025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11897__A (.DIODE(_07608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10894__A (.DIODE(_07051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11900__A (.DIODE(_07611_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10895__A (.DIODE(_07051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11903__A (.DIODE(_07614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10897__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11905__A (.DIODE(_07616_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10898__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11907__A (.DIODE(_07618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10899__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11909__A (.DIODE(_07384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10900__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11923__A (.DIODE(_07391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10901__A (.DIODE(_07051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11937__A (.DIODE(_07398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10903__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11951__A (.DIODE(_07405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10904__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11951__B (.DIODE(_07640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10905__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11953__A (.DIODE(_07600_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10906__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11956__B1 (.DIODE(_07709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10907__A (.DIODE(_06944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11957__A (.DIODE(_07604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10907__B (.DIODE(_07025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11958__B1 (.DIODE(_07712_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10911__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11959__A (.DIODE(_07606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10912__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11960__B1 (.DIODE(_07713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10913__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11961__A (.DIODE(_07608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10914__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11962__B1 (.DIODE(_07714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10917__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11964__A (.DIODE(_07611_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10918__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11966__B1 (.DIODE(_07716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10919__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11967__A (.DIODE(_07614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10920__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11968__B1 (.DIODE(_07718_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10921__A (.DIODE(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11969__A (.DIODE(_07616_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10921__B (.DIODE(_07025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11971__A (.DIODE(_07618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10925__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11972__B1 (.DIODE(_07720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10926__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11973__A (.DIODE(_07421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10927__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11973__B (.DIODE(_07640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10928__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11977__B1 (.DIODE(_07709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10931__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11978__B1 (.DIODE(_07712_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10932__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11979__B1 (.DIODE(_07713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10933__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11980__B1 (.DIODE(_07714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10934__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11983__B1 (.DIODE(_07716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10935__B (.DIODE(_07018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11984__B1 (.DIODE(_07718_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10939__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11986__B1 (.DIODE(_07720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10940__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11987__A (.DIODE(_07428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10941__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11987__B (.DIODE(_07640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10942__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11991__B1 (.DIODE(_07709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10945__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11992__B1 (.DIODE(_07712_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10946__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11993__B1 (.DIODE(_07713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10947__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11994__B1 (.DIODE(_07714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10948__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11997__B1 (.DIODE(_07716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10949__A (.DIODE(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11998__B1 (.DIODE(_07718_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10949__B (.DIODE(_07018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12000__B1 (.DIODE(_07720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10953__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12002__A (.DIODE(_07436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10954__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12002__B (.DIODE(_07733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10955__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12006__B1 (.DIODE(_07709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10956__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12007__B1 (.DIODE(_07712_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10959__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12008__B1 (.DIODE(_07713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10960__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12009__B1 (.DIODE(_07714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10961__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12012__B1 (.DIODE(_07716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10962__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12013__B1 (.DIODE(_07718_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10963__A (.DIODE(_06973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12015__B1 (.DIODE(_07720_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10963__B (.DIODE(_07018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12016__A (.DIODE(_07443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10964__A (.DIODE(_07081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12016__B (.DIODE(_07733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10965__A (.DIODE(_07081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12018__A (.DIODE(_07446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10967__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12019__A (.DIODE(_07742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10968__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12022__B1 (.DIODE(_07743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10969__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12023__A (.DIODE(_07451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10970__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12024__A (.DIODE(_07746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10971__A (.DIODE(_07081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12025__B1 (.DIODE(_07747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10973__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12026__A (.DIODE(_07454_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10974__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12027__A (.DIODE(_07748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10975__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12029__A (.DIODE(_07457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10976__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12030__A (.DIODE(_07750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10978__A (.DIODE(_06980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12031__B1 (.DIODE(_07751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10982__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12033__A (.DIODE(_07461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10983__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12034__A (.DIODE(_07753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10984__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12037__A (.DIODE(_07465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10985__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12038__A (.DIODE(_07756_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10988__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12040__A (.DIODE(_07468_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10989__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12041__A (.DIODE(_07758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10990__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12043__A (.DIODE(_07471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10991__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12044__A (.DIODE(_07760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10992__A (.DIODE(_06987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12046__A (.DIODE(_07474_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10996__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12046__B (.DIODE(_07733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10997__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12050__B1 (.DIODE(_07743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10998__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12051__B1 (.DIODE(_07747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10999__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12053__B1 (.DIODE(_07751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11002__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12060__A (.DIODE(_07481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11003__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12060__B (.DIODE(_07733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11004__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12064__B1 (.DIODE(_07743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11005__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12065__B1 (.DIODE(_07747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11006__A (.DIODE(_06994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12067__B1 (.DIODE(_07751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11007__A (.DIODE(_07100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12074__A (.DIODE(_07488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11008__A (.DIODE(_07100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12078__B1 (.DIODE(_07743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11010__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12079__B1 (.DIODE(_07747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11011__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12081__B1 (.DIODE(_07751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11012__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12088__C (.DIODE(_07322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11013__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12091__A (.DIODE(_07343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11014__A (.DIODE(_07100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12093__A (.DIODE(_07742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11016__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12096__B1 (.DIODE(_07785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11017__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12097__A (.DIODE(_07746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11018__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12098__B1 (.DIODE(_07788_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11019__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12099__A (.DIODE(_07748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11020__A (.DIODE(_07001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12100__B1 (.DIODE(_07789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11021__A (.DIODE(_07106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12101__A (.DIODE(_07750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11022__A (.DIODE(_07106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12102__B1 (.DIODE(_07790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11024__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12104__A (.DIODE(_07753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11025__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12106__B1 (.DIODE(_07792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11026__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12107__A (.DIODE(_07756_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11027__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12108__B1 (.DIODE(_07794_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11028__A (.DIODE(_07106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12109__A (.DIODE(_07758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11030__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12110__B1 (.DIODE(_07795_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11031__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12111__A (.DIODE(_07760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11032__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12112__B1 (.DIODE(_07796_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11033__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12115__A (.DIODE(_07353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11035__A (.DIODE(_07112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12115__B (.DIODE(_07798_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11036__A (.DIODE(_07112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12119__B1 (.DIODE(_07785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11038__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12120__B1 (.DIODE(_07788_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11039__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12121__B1 (.DIODE(_07789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11040__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12122__B1 (.DIODE(_07790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11041__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12125__B1 (.DIODE(_07792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11042__A (.DIODE(_07112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12126__B1 (.DIODE(_07794_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11044__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12127__B1 (.DIODE(_07795_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11045__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12128__B1 (.DIODE(_07796_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11046__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12129__A (.DIODE(_07328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11047__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12129__B (.DIODE(_07798_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11048__A (.DIODE(_07015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12133__B1 (.DIODE(_07785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11051__B (.DIODE(_07120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12134__B1 (.DIODE(_07788_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11055__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12135__B1 (.DIODE(_07789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11056__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12136__B1 (.DIODE(_07790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11057__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12139__B1 (.DIODE(_07792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11058__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12140__B1 (.DIODE(_07794_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11061__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12141__B1 (.DIODE(_07795_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11062__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12142__B1 (.DIODE(_07796_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11063__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12143__A (.DIODE(_07360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11064__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12143__B (.DIODE(_07798_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11068__A (.DIODE(_07129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12147__B1 (.DIODE(_07785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11069__A (.DIODE(_07129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12148__B1 (.DIODE(_07788_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11071__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12149__B1 (.DIODE(_07789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11072__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12150__B1 (.DIODE(_07790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11073__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12153__B1 (.DIODE(_07792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11074__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12154__B1 (.DIODE(_07794_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11075__A (.DIODE(_07129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12155__B1 (.DIODE(_07795_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11077__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12156__B1 (.DIODE(_07796_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11078__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12157__A (.DIODE(_07369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11079__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12157__B (.DIODE(_07798_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11080__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12159__A (.DIODE(_07742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11082__A (.DIODE(_07135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12163__A (.DIODE(_07746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11083__A (.DIODE(_07135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12165__A (.DIODE(_07748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11085__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12167__A (.DIODE(_07750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11086__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12170__A (.DIODE(_07753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11087__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12173__A (.DIODE(_07756_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11088__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12175__A (.DIODE(_07758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11089__A (.DIODE(_07135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12176__B1 (.DIODE(_07829_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11091__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12177__A (.DIODE(_07760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11092__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12179__A (.DIODE(_07384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11093__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12191__B1 (.DIODE(_07829_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11094__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12193__A (.DIODE(_07391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11095__A (.DIODE(_06922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12205__B1 (.DIODE(_07829_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11096__A (.DIODE(_07141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12207__A (.DIODE(_07398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11097__A (.DIODE(_07141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12219__B1 (.DIODE(_07829_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11099__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12221__A (.DIODE(_07405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11100__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12223__A (.DIODE(_07742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11101__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12227__A (.DIODE(_07746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11102__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12229__A (.DIODE(_07748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11103__A (.DIODE(_07141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12231__A (.DIODE(_07750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11105__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12234__A (.DIODE(_07753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11106__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12237__A (.DIODE(_07756_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11107__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12239__A (.DIODE(_07758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11108__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12241__A (.DIODE(_07760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11109__A (.DIODE(_06930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12243__A (.DIODE(_07421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11110__A (.DIODE(_07147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12257__A (.DIODE(_07428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11111__A (.DIODE(_07147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12272__A (.DIODE(_07436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11113__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12273__A (.DIODE(_07876_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11114__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12274__A (.DIODE(_07876_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11115__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12280__A (.DIODE(_07876_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11116__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12286__A (.DIODE(_07443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11117__A (.DIODE(_07147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12288__A (.DIODE(_07446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11119__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12292__A (.DIODE(_07451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11120__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12294__A (.DIODE(_07454_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11121__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12296__A (.DIODE(_07457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11122__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12299__A (.DIODE(_07461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11123__A (.DIODE(_06937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12301__B1 (.DIODE(_07891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11127__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12302__A (.DIODE(_07465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11128__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12304__A (.DIODE(_07468_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11129__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12306__A (.DIODE(_07471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11130__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12308__A (.DIODE(_07474_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11133__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12318__B1 (.DIODE(_07891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11134__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12322__A (.DIODE(_07481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11135__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12332__B1 (.DIODE(_07891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11136__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12336__A (.DIODE(_07488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11137__A (.DIODE(_06944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12346__B1 (.DIODE(_07891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11141__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12352__A (.DIODE(_07915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11142__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12353__A (.DIODE(_07915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11143__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12355__B1 (.DIODE(_05934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11144__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12356__B1 (.DIODE(_05937_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11147__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12357__B1 (.DIODE(_05939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11148__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12358__B1 (.DIODE(_05942_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11149__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12359__A (.DIODE(_07915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11150__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12361__B1 (.DIODE(_05944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11151__A (.DIODE(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12362__B1 (.DIODE(_05947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11155__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12363__B1 (.DIODE(_05949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11156__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12364__B1 (.DIODE(_05951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11157__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12370__B (.DIODE(_07925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11158__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12374__B1 (.DIODE(_05934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11161__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12375__B1 (.DIODE(_05937_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11162__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12376__B1 (.DIODE(_05939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11163__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12377__B1 (.DIODE(_05942_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11164__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12380__B1 (.DIODE(_05944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11165__B (.DIODE(_07120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12381__B1 (.DIODE(_05947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11166__A (.DIODE(_07171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12382__B1 (.DIODE(_05949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11167__A (.DIODE(_07171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12383__B1 (.DIODE(_05951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11169__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12385__B (.DIODE(_07932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11170__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12389__B1 (.DIODE(_05934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11171__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12390__B1 (.DIODE(_05937_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11172__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12391__B1 (.DIODE(_05939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11173__A (.DIODE(_07171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12392__B1 (.DIODE(_05942_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11175__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12395__B1 (.DIODE(_05944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11176__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12396__B1 (.DIODE(_05947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11177__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12397__B1 (.DIODE(_05949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11178__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12398__B1 (.DIODE(_05951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11179__A (.DIODE(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12401__B (.DIODE(_07940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11179__B (.DIODE(_07120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12406__B1 (.DIODE(_07943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11183__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12408__B1 (.DIODE(_07946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11184__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12410__B1 (.DIODE(_07947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11185__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12412__B1 (.DIODE(_07948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11186__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12416__B1 (.DIODE(_07950_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11189__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12418__B1 (.DIODE(_07952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11190__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12420__B1 (.DIODE(_07953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11191__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12422__B1 (.DIODE(_07954_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11192__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12424__A (.DIODE(_07955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11193__A (.DIODE(_06973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12428__B1 (.DIODE(_07943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11193__B (.DIODE(_07120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12429__B1 (.DIODE(_07946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11197__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12430__B1 (.DIODE(_07947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11198__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12431__B1 (.DIODE(_07948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11199__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12434__B1 (.DIODE(_07950_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11200__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12435__B1 (.DIODE(_07952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11203__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12436__B1 (.DIODE(_07953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11204__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12437__B1 (.DIODE(_07954_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11205__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12443__B (.DIODE(_07966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11206__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12447__B1 (.DIODE(_07943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11208__A (.DIODE(_06980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12448__B1 (.DIODE(_07946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11212__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12449__B1 (.DIODE(_07947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11213__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12450__B1 (.DIODE(_07948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11214__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12453__B1 (.DIODE(_07950_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11215__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12454__B1 (.DIODE(_07952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11218__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12455__B1 (.DIODE(_07953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11219__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12456__B1 (.DIODE(_07954_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11220__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12460__B (.DIODE(_07975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11221__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12464__B1 (.DIODE(_07943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11222__A (.DIODE(_06987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12465__B1 (.DIODE(_07946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11226__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12466__B1 (.DIODE(_07947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11227__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12467__B1 (.DIODE(_07948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11228__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12470__B1 (.DIODE(_07950_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11229__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12471__B1 (.DIODE(_07952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11232__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12472__B1 (.DIODE(_07953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11233__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12473__B1 (.DIODE(_07954_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11234__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12475__B (.DIODE(_07982_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11235__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12477__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11236__A (.DIODE(_06994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12479__A (.DIODE(_07986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11240__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12482__B1 (.DIODE(_07987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11241__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12483__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11242__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12485__A (.DIODE(_07991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11243__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12487__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11246__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12489__A (.DIODE(_07994_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11247__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12490__B1 (.DIODE(_07995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11248__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12491__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11249__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12493__A (.DIODE(_07997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11250__A (.DIODE(_07001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12494__B1 (.DIODE(_07998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11254__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12496__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11255__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12498__A (.DIODE(_08001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11256__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12501__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11257__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12502__A (.DIODE(_08004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11260__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12503__A (.DIODE(_08005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11261__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12505__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11262__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12506__A (.DIODE(_08007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11263__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12507__A (.DIODE(_08008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11265__A (.DIODE(_07214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12509__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11266__A (.DIODE(_07214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12510__A (.DIODE(_08010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11268__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12511__A (.DIODE(_08011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11269__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12514__B (.DIODE(_08013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11270__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12518__B1 (.DIODE(_07987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11271__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12520__B1 (.DIODE(_07995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11272__A (.DIODE(_07214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12521__B1 (.DIODE(_07998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11274__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12529__B (.DIODE(_08020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11275__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12533__B1 (.DIODE(_07987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11276__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12535__B1 (.DIODE(_07995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11277__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12536__B1 (.DIODE(_07998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11278__C (.DIODE(_06892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12546__A (.DIODE(_08029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11281__B (.DIODE(_07222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12547__A (.DIODE(_08029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11282__A (.DIODE(_07223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12549__B1 (.DIODE(_07987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11283__A (.DIODE(_07223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12551__B1 (.DIODE(_07995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11285__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12552__B1 (.DIODE(_07998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11286__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12553__A (.DIODE(_08029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11287__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12560__B (.DIODE(_08035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11288__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12562__A (.DIODE(_07986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11289__A (.DIODE(_07223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12565__B1 (.DIODE(_08038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11291__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12566__A (.DIODE(_07991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11292__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12567__B1 (.DIODE(_08041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11293__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12568__A (.DIODE(_07994_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11294__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12569__B1 (.DIODE(_08042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11296__A (.DIODE(_07229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12570__A (.DIODE(_07997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11297__B (.DIODE(_07230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12571__B1 (.DIODE(_08043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11301__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12573__A (.DIODE(_08001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11302__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12575__B1 (.DIODE(_08045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11303__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12576__A (.DIODE(_08005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11304__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12577__B1 (.DIODE(_08047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11307__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12578__A (.DIODE(_08008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11308__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12579__B1 (.DIODE(_08048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11309__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12580__A (.DIODE(_08011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11310__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12581__B1 (.DIODE(_08049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11311__B (.DIODE(_07230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12583__B (.DIODE(_08050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11312__A (.DIODE(_07237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12587__B1 (.DIODE(_08038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11313__A (.DIODE(_07237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12588__B1 (.DIODE(_08041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11315__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12589__B1 (.DIODE(_08042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11316__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12590__B1 (.DIODE(_08043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11317__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12593__B1 (.DIODE(_08045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11318__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12594__B1 (.DIODE(_08047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11319__A (.DIODE(_07237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12595__B1 (.DIODE(_08048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11321__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12596__B1 (.DIODE(_08049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11322__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12598__A (.DIODE(_08057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11323__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12599__A (.DIODE(_08057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11324__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12604__B1 (.DIODE(_07266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11325__A (.DIODE(_06922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12605__A (.DIODE(_08057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11325__B (.DIODE(_07230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12606__A (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11329__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12610__B1 (.DIODE(_08064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11330__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12612__B1 (.DIODE(_08065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11331__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12614__B1 (.DIODE(_08066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11332__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12616__A (.DIODE(_08067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11335__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12617__A (.DIODE(_08067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11336__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12624__A (.DIODE(_08067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11337__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12627__B1 (.DIODE(_08064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11338__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12628__B1 (.DIODE(_08065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11339__A (.DIODE(_06930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12629__B1 (.DIODE(_08066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11339__B (.DIODE(_07230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12635__A (.DIODE(_08078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11343__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12637__B1 (.DIODE(_07271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11344__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12638__B1 (.DIODE(_07273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11345__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12640__B1 (.DIODE(_07274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11346__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12641__A2 (.DIODE(_08078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11349__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12642__A (.DIODE(_08078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11350__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12643__B1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11351__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12644__B1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11352__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12648__A (.DIODE(_08078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11353__A (.DIODE(_06937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12661__B1 (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11353__B (.DIODE(_07229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12670__B1 (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11354__A (.DIODE(_07255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12671__B1 (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11355__A (.DIODE(_07255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12673__B1 (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11357__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12676__B1 (.DIODE(_08093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11358__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12680__B1 (.DIODE(_08096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11359__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12685__B1 (.DIODE(_08064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11360__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12686__B1 (.DIODE(_08065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11361__A (.DIODE(_07255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12687__B1 (.DIODE(_08066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11363__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12696__B1 (.DIODE(_07271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11364__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12697__B1 (.DIODE(_07273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11365__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12698__B1 (.DIODE(_07274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11366__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12702__B1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11367__A (.DIODE(_06944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12703__B1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11367__B (.DIODE(_07229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12712__A (.DIODE(_08110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11371__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12714__A (.DIODE(_08112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11372__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12719__A (.DIODE(_08110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11373__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12720__A (.DIODE(_08112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11374__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12721__B1 (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11377__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12725__A (.DIODE(_08110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11378__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12726__A (.DIODE(_08112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11379__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12729__B1 (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11380__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12730__B1 (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11381__A (.DIODE(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12731__A (.DIODE(_08110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11381__B (.DIODE(_07229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12732__A (.DIODE(_08112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11385__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12733__B1 (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11386__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12734__B1 (.DIODE(_08093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11387__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12736__B1 (.DIODE(_08096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11388__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12741__B1 (.DIODE(_08064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11391__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12742__B1 (.DIODE(_08065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11392__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12743__B1 (.DIODE(_08066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11393__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12749__A (.DIODE(_08126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11394__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12751__B1 (.DIODE(_07271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11395__B (.DIODE(_07222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12752__B1 (.DIODE(_07273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11399__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12754__B1 (.DIODE(_07274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11400__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12755__A2 (.DIODE(_08126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11401__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12755__B1 (.DIODE(_05805_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11402__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12756__A (.DIODE(_08126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11405__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12757__B1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11406__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12758__B1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11407__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12762__A (.DIODE(_08126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11408__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12775__B1 (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11409__A (.DIODE(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12784__B1 (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11409__B (.DIODE(_07222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12785__B1 (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11410__A (.DIODE(_07279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12787__B1 (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11411__A (.DIODE(_07279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12789__B1 (.DIODE(_08093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11413__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12791__B1 (.DIODE(_08096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11414__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12794__A (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11415__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12802__B1 (.DIODE(_08147_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11416__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12804__A (.DIODE(_08148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11417__A (.DIODE(_07279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12805__A (.DIODE(_08148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11419__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12807__B1 (.DIODE(_08093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11420__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12809__B1 (.DIODE(_08096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11421__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12810__A (.DIODE(net36),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11422__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12812__A (.DIODE(_08148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11423__A (.DIODE(_06973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12817__B1 (.DIODE(_08147_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11423__B (.DIODE(_07222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12819__A (.DIODE(_08155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11424__A (.DIODE(_07285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12821__A (.DIODE(_08155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11425__A (.DIODE(_07285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12829__A (.DIODE(_08155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11427__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12834__B1 (.DIODE(_08147_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11428__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12836__A (.DIODE(_08164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11429__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12837__A (.DIODE(_08164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11430__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12843__A (.DIODE(_08164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11431__A (.DIODE(_07285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12848__B1 (.DIODE(_08147_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11433__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12850__A (.DIODE(_07495_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11434__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12851__A (.DIODE(_08171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11435__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12852__A (.DIODE(_08170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11436__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12856__B1 (.DIODE(_08038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11438__A (.DIODE(_06980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12857__B1 (.DIODE(_08041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11439__A (.DIODE(_07292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12858__B1 (.DIODE(_08042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11440__A (.DIODE(_07292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12859__B1 (.DIODE(_08043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11442__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12862__B1 (.DIODE(_08045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11443__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12863__B1 (.DIODE(_08047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11444__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12864__B1 (.DIODE(_08048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11445__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12865__B1 (.DIODE(_08049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11446__A (.DIODE(_07292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12867__B (.DIODE(_08179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11448__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12871__B1 (.DIODE(_08038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11449__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12872__B1 (.DIODE(_08041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11450__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12873__B1 (.DIODE(_08042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11451__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12874__B1 (.DIODE(_08043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11452__A (.DIODE(_06987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12877__B1 (.DIODE(_08045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11456__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12878__B1 (.DIODE(_08047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11457__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12879__B1 (.DIODE(_08048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11458__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12880__B1 (.DIODE(_08049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11459__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12882__B (.DIODE(_08186_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11462__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12884__A (.DIODE(_07986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11463__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12888__A (.DIODE(_07991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11464__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12890__A (.DIODE(_07994_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11465__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12892__A (.DIODE(_07997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11466__A (.DIODE(_06994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12895__A (.DIODE(_08001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11470__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12898__A (.DIODE(_08005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11471__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12900__A (.DIODE(_08008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11472__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12902__A (.DIODE(_08011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11473__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12918__A (.DIODE(_08171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11476__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12919__A (.DIODE(_08035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11477__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12933__A (.DIODE(_08050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11478__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12947__A (.DIODE(_07940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11479__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12949__A (.DIODE(_07986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11480__A (.DIODE(_07001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12953__A (.DIODE(_07991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11484__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12955__A (.DIODE(_07994_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11485__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12957__A (.DIODE(_07997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11486__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12960__A (.DIODE(_08001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11487__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12963__A (.DIODE(_08005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11490__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12965__A (.DIODE(_08008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11491__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12967__A (.DIODE(_08011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11492__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12969__A (.DIODE(_07955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11493__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12983__A (.DIODE(_08171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11498__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12984__A (.DIODE(_07966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11499__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12998__A (.DIODE(_07975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11500__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13012__A (.DIODE(_07982_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11501__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13018__B1 (.DIODE(_08256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11504__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13026__A (.DIODE(_08263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11505__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13033__A (.DIODE(_08004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11506__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13034__A (.DIODE(_08269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11507__B1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13036__A (.DIODE(_08007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11508__B (.DIODE(_06382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13037__A (.DIODE(_08271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11510__A (.DIODE(_07323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13038__B1 (.DIODE(_08272_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11511__A (.DIODE(_07323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13039__A (.DIODE(_08010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11513__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13041__B1 (.DIODE(_08274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11514__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13042__A (.DIODE(_08013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11515__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13046__B1 (.DIODE(_08256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11516__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13054__B1 (.DIODE(_08272_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11517__A (.DIODE(_07323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13055__B1 (.DIODE(_08274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11519__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13056__A (.DIODE(_08171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11520__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13057__A (.DIODE(_08020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11521__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13057__B (.DIODE(_08281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11522__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13058__A (.DIODE(_08282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11532__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13059__A (.DIODE(_08282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11533__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13061__B1 (.DIODE(_08256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11534__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13065__A (.DIODE(_08282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11535__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13069__B1 (.DIODE(_08272_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11538__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13070__B1 (.DIODE(_08274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11539__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13071__A (.DIODE(_07932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11540__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13071__B (.DIODE(_08281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11541__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13072__A (.DIODE(_08288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11544__A (.DIODE(_07341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13073__A (.DIODE(_08288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11545__A (.DIODE(_07341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13075__B1 (.DIODE(_08256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11547__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13079__A (.DIODE(_08288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11548__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13083__B1 (.DIODE(_08272_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11549__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13084__B1 (.DIODE(_08274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11550__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13085__A (.DIODE(_07925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11551__A (.DIODE(_07341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13085__B (.DIODE(_08281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11553__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13090__B1 (.DIODE(_08296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11554__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13092__B1 (.DIODE(_08299_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11555__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13094__B1 (.DIODE(_08300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11556__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13095__A (.DIODE(_08263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11559__A (.DIODE(_07348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13096__B1 (.DIODE(_08301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11560__A (.DIODE(_07348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13100__B1 (.DIODE(_08303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11562__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13101__A (.DIODE(_08269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11563__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13102__B1 (.DIODE(_08305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11564__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13103__A (.DIODE(_08271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11565__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13104__B1 (.DIODE(_08306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11566__A (.DIODE(_07348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13106__B1 (.DIODE(_08307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11568__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13107__A (.DIODE(_06741_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11569__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13107__B (.DIODE(_08281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11570__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13111__B1 (.DIODE(_08296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11571__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13112__B1 (.DIODE(_08299_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11577__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13113__B1 (.DIODE(_08300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11578__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13114__B1 (.DIODE(_08301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11579__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13117__B1 (.DIODE(_08303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11580__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13118__B1 (.DIODE(_08305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11583__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13119__B1 (.DIODE(_08306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11584__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13120__B1 (.DIODE(_08307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11585__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13121__C (.DIODE(_07322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11586__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13123__A (.DIODE(_08315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11590__A (.DIODE(_07363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13124__A (.DIODE(_08170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11591__A (.DIODE(_07363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13128__B1 (.DIODE(_08296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11593__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13129__B1 (.DIODE(_08299_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11594__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13130__B1 (.DIODE(_08300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11595__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13131__B1 (.DIODE(_08301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11596__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13134__B1 (.DIODE(_08303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11597__A (.DIODE(_07363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13135__B1 (.DIODE(_08305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11599__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13136__B1 (.DIODE(_08306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11600__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13137__B1 (.DIODE(_08307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11601__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13138__A (.DIODE(_08179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11602__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13142__B1 (.DIODE(_08296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11607__A (.DIODE(_07372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13143__B1 (.DIODE(_08299_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11608__A (.DIODE(_07372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13144__B1 (.DIODE(_08300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11610__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13145__B1 (.DIODE(_08301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11611__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13148__B1 (.DIODE(_08303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11612__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13149__B1 (.DIODE(_08305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11613__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13150__B1 (.DIODE(_08306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11614__A (.DIODE(_07372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13151__B1 (.DIODE(_08307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11616__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13152__A (.DIODE(_08186_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11617__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13153__A (.DIODE(_08329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11618__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13155__A (.DIODE(_08329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11619__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13157__B1 (.DIODE(_08331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11625__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13159__B1 (.DIODE(_08334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11626__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13161__B1 (.DIODE(_08335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11627__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13162__A (.DIODE(_08263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11628__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13163__B1 (.DIODE(_08336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11631__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13164__A (.DIODE(_08329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11632__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13167__B1 (.DIODE(_08338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11633__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13168__A (.DIODE(_08269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11634__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13169__B1 (.DIODE(_08340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11637__A (.DIODE(_07386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13170__A (.DIODE(_08271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11638__A (.DIODE(_07386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13171__B1 (.DIODE(_08341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11640__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13173__B1 (.DIODE(_08342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11641__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13174__A (.DIODE(_06747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11642__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13176__A (.DIODE(_08344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11643__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13177__A (.DIODE(_08344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11644__A (.DIODE(_07386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13179__B1 (.DIODE(_08331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11646__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13180__B1 (.DIODE(_08334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11647__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13181__B1 (.DIODE(_08335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11648__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13182__B1 (.DIODE(_08336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11649__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13183__A (.DIODE(_08344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11652__A (.DIODE(_07393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13185__B1 (.DIODE(_08338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11653__A (.DIODE(_07393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13186__B1 (.DIODE(_08340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11655__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13187__B1 (.DIODE(_08341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11656__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13188__B1 (.DIODE(_08342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11657__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13190__A (.DIODE(_08035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11658__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13194__B1 (.DIODE(_08331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11659__A (.DIODE(_07393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13195__B1 (.DIODE(_08334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11661__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13196__B1 (.DIODE(_08335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11662__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13197__B1 (.DIODE(_08336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11663__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13200__B1 (.DIODE(_08338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11664__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13201__B1 (.DIODE(_08340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11671__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13202__B1 (.DIODE(_08341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11672__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13203__B1 (.DIODE(_08342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11673__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13204__A (.DIODE(_08050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11674__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13205__A (.DIODE(_08357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11677__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13206__A (.DIODE(_08357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11678__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13208__B1 (.DIODE(_08331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11679__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13209__B1 (.DIODE(_08334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11680__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13210__B1 (.DIODE(_08335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11683__A (.DIODE(_07408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13211__B1 (.DIODE(_08336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11684__A (.DIODE(_07408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13212__A (.DIODE(_08357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11686__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13214__B1 (.DIODE(_08338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11687__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13215__B1 (.DIODE(_08340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11688__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13216__B1 (.DIODE(_08341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11689__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13217__B1 (.DIODE(_08342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11690__A (.DIODE(_07408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13228__B1 (.DIODE(_05604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11692__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13229__B1 (.DIODE(_07043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11693__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13230__B1 (.DIODE(_07046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11694__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13231__B1 (.DIODE(_07048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11695__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13233__A (.DIODE(_08369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11698__A (.DIODE(_07415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13234__A (.DIODE(_08186_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11699__A (.DIODE(_07415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13235__A (.DIODE(_08371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11701__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13237__A (.DIODE(_08371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11702__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13239__B1 (.DIODE(_08373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11703__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13241__B1 (.DIODE(_08376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11704__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13243__B1 (.DIODE(_08377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11705__A (.DIODE(_07415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13244__A (.DIODE(_08263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11707__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13245__B1 (.DIODE(_08378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11708__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13246__A (.DIODE(_08371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11709__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13249__B1 (.DIODE(_08380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11710__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13250__A (.DIODE(_08269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11711__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13251__B1 (.DIODE(_08382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11715__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13252__A (.DIODE(_08271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11716__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13253__B1 (.DIODE(_08383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11717__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13255__B1 (.DIODE(_08384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11718__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13256__A (.DIODE(_07966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11721__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13257__A (.DIODE(_08385_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11722__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13258__A (.DIODE(_08385_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11723__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13260__B1 (.DIODE(_08373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11724__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13261__B1 (.DIODE(_08376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11725__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13262__B1 (.DIODE(_08377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11729__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13263__B1 (.DIODE(_08378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11730__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13264__A (.DIODE(_08385_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11731__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13266__B1 (.DIODE(_08380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11732__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13267__B1 (.DIODE(_08382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11735__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13268__B1 (.DIODE(_08383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11736__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13269__B1 (.DIODE(_08384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11737__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13270__A (.DIODE(_06747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11738__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13271__A (.DIODE(_08020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11745__B1 (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13272__A (.DIODE(_08392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11746__B1 (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13273__A (.DIODE(_08392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11747__B1 (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13275__B1 (.DIODE(_08373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11749__B1 (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13276__B1 (.DIODE(_08376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11752__B1 (.DIODE(net34),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13277__B1 (.DIODE(_08377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11753__B1 (.DIODE(net33),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13278__B1 (.DIODE(_08378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11754__B1 (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13279__A (.DIODE(_08392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11756__B1 (.DIODE(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13281__B1 (.DIODE(_08380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11772__B1 (.DIODE(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13282__B1 (.DIODE(_08382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11778__B1 (.DIODE(net16),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11779__B1 (.DIODE(net15),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11782__B1 (.DIODE(net40),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11784__B1 (.DIODE(net39),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11785__B1 (.DIODE(net38),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11786__B1 (.DIODE(net37),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11788__B1 (.DIODE(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11790__B1 (.DIODE(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11791__B1 (.DIODE(net32),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11792__B1 (.DIODE(net25),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11793__B1 (.DIODE(net14),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11800__B1 (.DIODE(net11),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11801__B1 (.DIODE(net10),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11802__B1 (.DIODE(net9),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11803__B1 (.DIODE(net8),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11807__B1 (.DIODE(net34),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11808__B1 (.DIODE(net33),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11809__B1 (.DIODE(net31),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11812__B1 (.DIODE(net30),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11819__A (.DIODE(_07467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11825__A (.DIODE(_07467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11827__B1 (.DIODE(net20),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11831__A (.DIODE(_07467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11833__B1 (.DIODE(net16),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11834__B1 (.DIODE(net15),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11837__A (.DIODE(_07467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11838__B1 (.DIODE(net40),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11839__B1 (.DIODE(net39),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11840__B1 (.DIODE(net38),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11841__B1 (.DIODE(net37),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11844__B1 (.DIODE(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11845__B1 (.DIODE(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11846__B1 (.DIODE(net32),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11847__B1 (.DIODE(net25),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11848__B1 (.DIODE(net14),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11854__A (.DIODE(_07481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11855__B1 (.DIODE(net11),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11856__B1 (.DIODE(net10),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11857__B1 (.DIODE(net9),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11859__B1 (.DIODE(net8),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11860__A2 (.DIODE(_07481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11861__A (.DIODE(_07481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11862__B1 (.DIODE(net34),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11863__B1 (.DIODE(net33),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11864__B1 (.DIODE(net31),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11866__B1 (.DIODE(net30),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11867__A (.DIODE(_07481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11873__A2 (.DIODE(_07488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11876__A2 (.DIODE(_07488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11877__A2 (.DIODE(_07488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11878__A2 (.DIODE(_07488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11882__B1 (.DIODE(net20),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11888__B1 (.DIODE(net16),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11889__B1 (.DIODE(net15),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11892__B1 (.DIODE(net40),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11894__B1 (.DIODE(net39),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11895__B1 (.DIODE(net38),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11896__B1 (.DIODE(net37),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11898__B1 (.DIODE(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11900__B1 (.DIODE(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11901__B1 (.DIODE(net32),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11902__B1 (.DIODE(net25),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11903__B1 (.DIODE(net14),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11908__B1 (.DIODE(net39),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11909__B1 (.DIODE(net38),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11910__B1 (.DIODE(net37),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11911__B1 (.DIODE(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11914__B1 (.DIODE(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11915__B1 (.DIODE(net32),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11916__B1 (.DIODE(net25),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11917__B1 (.DIODE(net14),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11918__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11922__B1 (.DIODE(net39),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11923__B1 (.DIODE(net38),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11924__B1 (.DIODE(net37),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11925__B1 (.DIODE(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11928__B1 (.DIODE(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11929__B1 (.DIODE(net32),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11930__B1 (.DIODE(net25),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11931__B1 (.DIODE(net14),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11932__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11933__A (.DIODE(_07511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11934__A (.DIODE(_07511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11936__B1 (.DIODE(net39),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11937__B1 (.DIODE(net38),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11938__B1 (.DIODE(net37),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11939__B1 (.DIODE(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11940__A (.DIODE(_07511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11942__B1 (.DIODE(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11943__B1 (.DIODE(net32),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11944__B1 (.DIODE(net25),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11945__B1 (.DIODE(net14),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11947__A (.DIODE(_07015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11947__B (.DIODE(_06395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11953__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11954__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11955__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11956__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11959__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11960__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11961__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11962__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11965__A (.DIODE(_07527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11966__A (.DIODE(_07527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11968__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11969__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11970__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11971__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11972__A (.DIODE(_07527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11974__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11975__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11976__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11977__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11980__A (.DIODE(_07534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11981__A (.DIODE(_07534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11983__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11984__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11985__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11986__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11987__A (.DIODE(_07534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11989__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11990__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11991__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11992__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11994__A (.DIODE(_07540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11995__A (.DIODE(_07540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11997__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11998__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__11999__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12000__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12001__A (.DIODE(_07540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12003__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12004__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12005__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12006__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12009__A (.DIODE(_07547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12010__A (.DIODE(_07547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12012__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12013__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12014__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12015__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12016__A (.DIODE(_07547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12018__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12019__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12020__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12021__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12023__A (.DIODE(_07553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12024__A (.DIODE(_07553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12026__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12027__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12028__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12029__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12030__A (.DIODE(_07553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12032__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12033__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12034__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12035__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12040__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12041__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12042__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12043__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12046__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12047__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12048__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12049__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12054__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12055__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12056__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12057__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12060__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12061__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12062__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12063__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12069__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12070__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12071__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12072__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12075__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12076__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12077__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12078__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12083__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12084__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12085__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12086__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12089__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12090__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12091__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12092__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12094__A (.DIODE(_07584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12095__A (.DIODE(_07584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12097__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12098__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12099__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12100__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12101__A (.DIODE(_07584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12103__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12104__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12105__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12106__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12111__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12112__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12113__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12114__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12117__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12118__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12119__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12120__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12123__A (.DIODE(_07597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12124__A (.DIODE(_07597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12128__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12129__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12130__A (.DIODE(_07597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12132__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12133__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12134__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12135__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12140__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12141__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12142__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12143__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12146__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12147__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12148__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12149__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12151__A (.DIODE(_07609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12152__A (.DIODE(_07609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12154__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12155__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12156__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12157__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12158__A (.DIODE(_07609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12160__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12161__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12162__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12163__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12165__A (.DIODE(_07615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12166__A (.DIODE(_07615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12168__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12169__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12170__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12171__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12172__A (.DIODE(_07615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12174__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12175__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12176__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12177__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12178__C (.DIODE(_06892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12185__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12186__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12187__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12188__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12191__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12192__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12193__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12194__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12196__A (.DIODE(_07630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12197__A (.DIODE(_07630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12199__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12200__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12201__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12202__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12203__A (.DIODE(_07630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12205__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12206__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12207__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12208__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12210__A (.DIODE(_07636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12211__A (.DIODE(_07636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12213__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12214__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12215__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12216__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12217__A (.DIODE(_07636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12219__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12220__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12221__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12222__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12228__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12229__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12230__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12231__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12234__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12235__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12236__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12237__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12240__A (.DIODE(_07650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12241__A (.DIODE(_07650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12243__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12244__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12245__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12246__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12247__A (.DIODE(_07650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12249__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12250__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12251__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12252__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12257__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12258__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12259__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12260__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12263__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12264__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12265__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12266__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12267__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12271__B1 (.DIODE(net39),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12272__B1 (.DIODE(net38),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12273__B1 (.DIODE(net37),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12274__B1 (.DIODE(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12277__B1 (.DIODE(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12278__B1 (.DIODE(net32),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12279__B1 (.DIODE(net25),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12280__B1 (.DIODE(net14),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12287__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12288__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12289__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12290__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12293__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12294__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12295__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12296__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12298__A (.DIODE(_07676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12299__A (.DIODE(_07676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12301__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12302__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12303__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12304__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12305__A (.DIODE(_07676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12307__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12308__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12309__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12310__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12313__A (.DIODE(_07683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12314__A (.DIODE(_07683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12316__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12317__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12318__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12319__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12320__A (.DIODE(_07683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12322__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12323__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12324__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12325__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12330__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12331__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12332__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12333__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12336__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12337__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12338__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12339__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12341__A (.DIODE(_07695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12342__A (.DIODE(_07695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12344__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12345__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12346__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12347__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12348__A (.DIODE(_07695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12350__B1 (.DIODE(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12351__B1 (.DIODE(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12352__B1 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12353__B1 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12356__A (.DIODE(_07702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12357__A (.DIODE(_07702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12359__B1 (.DIODE(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12360__B1 (.DIODE(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12361__B1 (.DIODE(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12362__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12363__A (.DIODE(_07702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__12365__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13283__B1 (.DIODE(_08383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12366__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13284__B1 (.DIODE(_08384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12367__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13286__A (.DIODE(_08398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12368__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13287__A (.DIODE(_08398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12373__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13289__B1 (.DIODE(_08373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12374__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13290__B1 (.DIODE(_08376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12375__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13291__B1 (.DIODE(_08377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12376__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13292__B1 (.DIODE(_08378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12379__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13293__A (.DIODE(_08398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12380__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13295__B1 (.DIODE(_08380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12381__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13296__B1 (.DIODE(_08382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12382__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13297__B1 (.DIODE(_08383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12384__A (.DIODE(_07714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13298__B1 (.DIODE(_08384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12385__A (.DIODE(_07714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13299__A (.DIODE(_08035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12387__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13300__A (.DIODE(_08404_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12388__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13301__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12389__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13302__A (.DIODE(_08406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12390__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13303__A (.DIODE(_08404_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12391__A (.DIODE(_07714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13306__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12393__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13307__A (.DIODE(_08410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12394__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13308__B1 (.DIODE(_08411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12395__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13309__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12396__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13310__A (.DIODE(_08412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12402__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13312__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12403__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13313__A (.DIODE(_08414_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12404__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13315__A (.DIODE(_08404_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12405__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13316__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12408__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13317__A (.DIODE(_08417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12409__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13319__B1 (.DIODE(_08418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12410__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13320__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12411__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13321__A (.DIODE(_08420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12413__A (.DIODE(_07727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13322__B1 (.DIODE(_08421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12414__A (.DIODE(_07727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13323__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12416__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13324__A (.DIODE(_08422_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12417__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13325__B1 (.DIODE(_08423_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12418__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13326__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12419__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13327__A (.DIODE(_08424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12420__A (.DIODE(_07727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13328__B1 (.DIODE(_08425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12422__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13330__A (.DIODE(_07975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12423__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13330__B (.DIODE(_08426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12424__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13331__A (.DIODE(_08427_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12425__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13332__A (.DIODE(_08427_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12430__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13335__B1 (.DIODE(_08411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12431__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13338__A (.DIODE(_08427_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12432__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13340__B1 (.DIODE(_08418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12433__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13341__B1 (.DIODE(_08421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12436__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13342__B1 (.DIODE(_08423_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12437__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13343__B1 (.DIODE(_08425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12438__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13344__A (.DIODE(_07932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12439__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13344__B (.DIODE(_08426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12445__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13345__A (.DIODE(_08433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12446__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13346__A (.DIODE(_08433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12447__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13349__B1 (.DIODE(_08411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12448__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13352__A (.DIODE(_08433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12451__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13354__B1 (.DIODE(_08418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12452__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13355__B1 (.DIODE(_08421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12453__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13356__B1 (.DIODE(_08423_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12454__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13357__B1 (.DIODE(_08425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12456__A (.DIODE(_07746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13358__A (.DIODE(_08050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12457__A (.DIODE(_07746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13359__A (.DIODE(_08439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12459__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13360__A (.DIODE(_08439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12460__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13363__B1 (.DIODE(_08411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12461__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13366__A (.DIODE(_08439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12462__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13368__B1 (.DIODE(_08418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12463__A (.DIODE(_07746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13369__B1 (.DIODE(_08421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12465__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13370__B1 (.DIODE(_08423_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12466__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13371__B1 (.DIODE(_08425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12467__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13372__A (.DIODE(_08369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12468__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13373__A (.DIODE(_07940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12470__A (.DIODE(_07752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13373__B (.DIODE(_08445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12471__A (.DIODE(_07752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13375__A (.DIODE(_08406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12473__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13379__A (.DIODE(_08410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12474__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13380__B1 (.DIODE(_08451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12475__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13381__A (.DIODE(_08412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12476__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13383__A (.DIODE(_08414_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12477__A (.DIODE(_07752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13386__A (.DIODE(_08417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12479__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13389__A (.DIODE(_08420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12480__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13390__B1 (.DIODE(_08457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12481__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13391__A (.DIODE(_08422_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12482__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13393__A (.DIODE(_08424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12484__A (.DIODE(_07758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13394__B1 (.DIODE(_08459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12485__A (.DIODE(_07758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13395__A (.DIODE(_07925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12487__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13395__B (.DIODE(_08426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12488__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13396__A (.DIODE(_08460_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12489__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13397__A (.DIODE(_08460_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12490__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13400__B1 (.DIODE(_08451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12491__A (.DIODE(_07758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13403__A (.DIODE(_08460_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12493__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13406__B1 (.DIODE(_08457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12494__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13408__B1 (.DIODE(_08459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12495__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13409__A (.DIODE(_07955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12496__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13409__B (.DIODE(_08445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12497__A (.DIODE(_07015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13414__B1 (.DIODE(_08451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12501__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13420__B1 (.DIODE(_08457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12502__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13422__B1 (.DIODE(_08459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12503__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13423__A (.DIODE(_06747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12504__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13424__A (.DIODE(_07940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12507__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13424__B (.DIODE(_08426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12508__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13429__B1 (.DIODE(_08451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12509__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13435__B1 (.DIODE(_08457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12510__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13437__B1 (.DIODE(_08459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12513__A (.DIODE(_07771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13438__A (.DIODE(_07982_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12514__A (.DIODE(_07771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13438__B (.DIODE(_08315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12516__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13440__A (.DIODE(_08406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12517__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13444__A (.DIODE(_08410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12518__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13446__A (.DIODE(_08412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12519__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13448__A (.DIODE(_08414_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12520__A (.DIODE(_07771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13451__A (.DIODE(_08417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12522__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13454__A (.DIODE(_08420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12523__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13456__A (.DIODE(_08422_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12524__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13458__A (.DIODE(_08424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12525__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13460__A (.DIODE(_07966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12527__A (.DIODE(_07777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13460__B (.DIODE(_08445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12528__A (.DIODE(_07777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13474__A (.DIODE(_07975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12530__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13474__B (.DIODE(_08445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12531__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13488__A (.DIODE(_07495_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12532__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13489__A (.DIODE(_08505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12533__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13490__A (.DIODE(_08505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12534__A (.DIODE(_07777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13496__A (.DIODE(_08505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12536__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13502__A (.DIODE(_08369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12537__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13503__A (.DIODE(_07982_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12538__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13503__B (.DIODE(_08511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12539__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13505__A (.DIODE(_08406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12544__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13508__B1 (.DIODE(_08514_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12545__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13509__A (.DIODE(_08410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12546__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13510__B1 (.DIODE(_08517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12547__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13511__A (.DIODE(_08412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12550__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13512__B1 (.DIODE(_08518_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12551__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13513__A (.DIODE(_08414_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12552__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13514__B1 (.DIODE(_08519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12553__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13516__A (.DIODE(_08417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12558__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13518__B1 (.DIODE(_08521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12559__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13519__A (.DIODE(_08420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12560__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13520__B1 (.DIODE(_08523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12561__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13521__A (.DIODE(_08422_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12564__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13522__B1 (.DIODE(_08524_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12565__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13523__A (.DIODE(_08424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12566__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13524__B1 (.DIODE(_08525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12567__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13525__A (.DIODE(_08013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12572__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13525__B (.DIODE(_08315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12573__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13529__B1 (.DIODE(_08514_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12574__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13530__B1 (.DIODE(_08517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12575__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13531__B1 (.DIODE(_08518_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12578__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13532__B1 (.DIODE(_08519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12579__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13535__B1 (.DIODE(_08521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12580__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13536__B1 (.DIODE(_08523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12581__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13537__B1 (.DIODE(_08524_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12586__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13538__B1 (.DIODE(_08525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12587__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13539__A (.DIODE(_08013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12588__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13539__B (.DIODE(_08511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12589__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13543__B1 (.DIODE(_08514_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12592__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13544__B1 (.DIODE(_08517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12593__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13545__B1 (.DIODE(_08518_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12594__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13546__B1 (.DIODE(_08519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12595__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13549__B1 (.DIODE(_08521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12598__A (.DIODE(_07808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13550__B1 (.DIODE(_08523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12599__A (.DIODE(_07808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13551__B1 (.DIODE(_08524_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12601__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13552__B1 (.DIODE(_08525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12602__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13553__A (.DIODE(_08020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12603__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13553__B (.DIODE(_08511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12604__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13557__B1 (.DIODE(_08514_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12605__A (.DIODE(_07808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13558__B1 (.DIODE(_08517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12607__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13559__B1 (.DIODE(_08518_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12608__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13560__B1 (.DIODE(_08519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12609__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13563__B1 (.DIODE(_08521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12610__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13564__B1 (.DIODE(_08523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12612__A (.DIODE(_07814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13565__B1 (.DIODE(_08524_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12613__A (.DIODE(_07814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13566__B1 (.DIODE(_08525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12615__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13567__B (.DIODE(_08170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12616__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13572__B1 (.DIODE(_08546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12617__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13574__B1 (.DIODE(_08549_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12618__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13576__B1 (.DIODE(_08550_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12619__A (.DIODE(_07814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13578__B1 (.DIODE(_08551_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12621__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13582__B1 (.DIODE(_08553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12622__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13583__A (.DIODE(_08004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12623__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13584__B1 (.DIODE(_08555_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12624__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13585__A (.DIODE(_08007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12629__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13586__B1 (.DIODE(_02838_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12630__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13587__A (.DIODE(_08010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12631__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13588__B1 (.DIODE(_02839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12632__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13589__A (.DIODE(_07932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12635__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13589__B (.DIODE(_08511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12636__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13593__B1 (.DIODE(_08546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12637__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13594__B1 (.DIODE(_08549_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12638__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13595__B1 (.DIODE(_08550_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12640__A (.DIODE(_07826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13596__B1 (.DIODE(_08551_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12641__A (.DIODE(_07826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13599__B1 (.DIODE(_08553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12643__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13600__B1 (.DIODE(_08555_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12644__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13601__B1 (.DIODE(_02838_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12645__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13602__B1 (.DIODE(_02839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12646__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13603__A (.DIODE(_08369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12647__A (.DIODE(_07826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13604__A (.DIODE(_07925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12649__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13608__B1 (.DIODE(_08546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12650__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13609__B1 (.DIODE(_08549_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12651__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13610__B1 (.DIODE(_08550_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12652__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13611__B1 (.DIODE(_08551_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12657__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13614__B1 (.DIODE(_08553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12658__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13615__B1 (.DIODE(_08555_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12659__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13616__B1 (.DIODE(_02838_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12660__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13617__B1 (.DIODE(_02839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12663__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13618__A (.DIODE(_07955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12664__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13618__B (.DIODE(_08315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12665__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13622__B1 (.DIODE(_08546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12666__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13623__B1 (.DIODE(_08549_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12671__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13624__B1 (.DIODE(_08550_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12672__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13625__B1 (.DIODE(_08551_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12673__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13628__B1 (.DIODE(_08553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12674__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13629__B1 (.DIODE(_08555_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12677__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13630__B1 (.DIODE(_02838_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12678__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13631__B1 (.DIODE(_02839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12679__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13632__A (.DIODE(_08170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12680__B1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13639__B1 (.DIODE(_02864_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12682__A (.DIODE(_07844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13641__B1 (.DIODE(_02865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12683__A (.DIODE(_07844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13643__B1 (.DIODE(_02866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12685__B1 (.DIODE(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13648__A (.DIODE(_08004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12686__B1 (.DIODE(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13650__A (.DIODE(_08007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12687__B1 (.DIODE(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13652__A (.DIODE(_08010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12688__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13654__A (.DIODE(_06741_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12689__A (.DIODE(_07844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13659__B1 (.DIODE(_02864_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12691__B1 (.DIODE(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13660__B1 (.DIODE(_02865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12692__B1 (.DIODE(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13661__B1 (.DIODE(_02866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12693__B1 (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13668__B (.DIODE(_08186_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12694__B1 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13669__A (.DIODE(_02879_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12699__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13670__A (.DIODE(_02879_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12700__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13673__B1 (.DIODE(_02864_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12701__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13674__B1 (.DIODE(_02865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12702__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13675__B1 (.DIODE(_02866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12705__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13676__A (.DIODE(_02879_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12706__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13682__A (.DIODE(_08179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12707__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13687__B1 (.DIODE(_02864_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12708__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13688__B1 (.DIODE(_02865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12710__B1 (.DIODE(\u_i2cm.u_byte_ctrl.core_rxd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13689__B1 (.DIODE(_02866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12711__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13696__B (.DIODE(_08179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12711__B (.DIODE(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13717__B1 (.DIODE(_05632_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12712__A (.DIODE(_07857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13720__B1 (.DIODE(_05604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12713__A (.DIODE(_07857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13721__B1 (.DIODE(_07043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12715__B1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13722__B1 (.DIODE(_07046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12716__B1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13723__B1 (.DIODE(_07048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12717__B1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13726__B1 (.DIODE(\u_i2cm.u_byte_ctrl.core_rxd ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12718__B1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13731__B1 (.DIODE(_05624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12719__A (.DIODE(_07857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13732__B1 (.DIODE(_05628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12721__B1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13733__B1 (.DIODE(_05630_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12722__B1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13734__B1 (.DIODE(_05632_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12723__B1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13737__B1 (.DIODE(_05604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12724__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13738__B1 (.DIODE(_07043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12725__B (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13739__B1 (.DIODE(_07046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12729__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13740__B1 (.DIODE(_07048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12730__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13747__B1 (.DIODE(_07243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12731__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13749__B1 (.DIODE(_07245_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12732__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13758__B1 (.DIODE(_07243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12735__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13761__B1 (.DIODE(_07245_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12736__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13768__A (.DIODE(_02921_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12737__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13770__A (.DIODE(_02921_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12738__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13779__A (.DIODE(_02921_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12740__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13791__A (.DIODE(_02936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12741__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13792__A (.DIODE(_02936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12742__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13798__A (.DIODE(_02936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12744__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13837__B1 (.DIODE(_02956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12746__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13839__B1 (.DIODE(_02959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12747__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13841__B1 (.DIODE(_02960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12748__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13847__B1 (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12749__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13849__B1 (.DIODE(_02965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12754__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13851__B1 (.DIODE(_02966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12755__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13853__B1 (.DIODE(_02967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12756__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13855__A (.DIODE(_02968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12757__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13856__A (.DIODE(_02968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12760__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13858__B1 (.DIODE(_02956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12761__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13859__B1 (.DIODE(_02959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12762__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13860__B1 (.DIODE(_02960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12763__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13862__A (.DIODE(_02968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12764__B (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13864__B1 (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12768__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13865__B1 (.DIODE(_02965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12769__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13866__B1 (.DIODE(_02966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12770__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13867__B1 (.DIODE(_02967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12771__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13872__B1 (.DIODE(_02956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12774__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13873__B1 (.DIODE(_02959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12775__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13874__B1 (.DIODE(_02960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12776__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13878__B1 (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12777__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13879__B1 (.DIODE(_02965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12778__B (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13880__B1 (.DIODE(_02966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12782__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13881__B1 (.DIODE(_02967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12783__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13886__B1 (.DIODE(_02956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12784__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13887__B1 (.DIODE(_02959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12785__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13888__B1 (.DIODE(_02960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12788__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13892__B1 (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12789__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13893__B1 (.DIODE(_02965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12790__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13894__B1 (.DIODE(_02966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12791__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13895__B1 (.DIODE(_02967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12796__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13922__A (.DIODE(\u_usb_host.u_core.u_sie.data_ready_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12797__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13923__B (.DIODE(_06564_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12798__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13931__A1 (.DIODE(_02998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12799__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13952__A2 (.DIODE(\u_uart_core.cfg_rx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12802__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13956__A1 (.DIODE(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12803__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13958__A1 (.DIODE(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12804__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13961__A2 (.DIODE(_05894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12805__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13986__A2 (.DIODE(_05894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12806__A (.DIODE(_06583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14022__B (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12806__B (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14023__A2 (.DIODE(_06874_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12810__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14037__A (.DIODE(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12811__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14038__B1 (.DIODE(_06482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12812__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14049__A1 (.DIODE(_06852_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12813__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14059__A1 (.DIODE(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12816__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14067__A (.DIODE(_06482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12817__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14079__A1_N (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12818__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14079__B1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12819__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14087__A1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12820__B (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14094__A1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12824__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14170__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12825__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14173__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12826__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14175__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12827__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14193__B1 (.DIODE(\u_uart_core.app_rxfifo_empty ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12830__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14194__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12831__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14195__B (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12832__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14195__C (.DIODE(net7),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12833__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14196__B (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12838__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14196__C (.DIODE(net6),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12839__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14197__A (.DIODE(net6),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12840__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14198__A (.DIODE(_03183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12841__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14200__B (.DIODE(_06133_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12844__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14201__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12845__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14201__B (.DIODE(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12846__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14202__B (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12847__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14203__A (.DIODE(_03184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12848__D (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14204__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12852__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14204__B (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12852__B2 (.DIODE(_07917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14205__A (.DIODE(_03185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12853__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14206__A (.DIODE(_03184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12853__B2 (.DIODE(_07917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14207__A (.DIODE(_03185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12854__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14211__A (.DIODE(_00334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12854__B2 (.DIODE(_07917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14212__A (.DIODE(_03184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12855__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14214__A (.DIODE(_03185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12855__B2 (.DIODE(_07917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14220__A (.DIODE(_03184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12858__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14222__A (.DIODE(_03185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12859__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14256__B2 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12860__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14351__A (.DIODE(\u_i2cm.ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12861__B1 (.DIODE(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14371__A (.DIODE(_05605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12873__A (.DIODE(_06669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14392__A (.DIODE(_05605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12877__A (.DIODE(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14400__B1 (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12882__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14417__A (.DIODE(_03249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12883__A1 (.DIODE(\u_usb_host.u_core.u_sie.data_ready_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14417__B (.DIODE(_03253_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12890__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14424__B (.DIODE(_03259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12895__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14429__A (.DIODE(_06644_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12897__A1 (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14429__B (.DIODE(_03264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12899__A (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14436__B (.DIODE(_03271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12901__C (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14440__B (.DIODE(_03275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12902__A2 (.DIODE(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14443__C1 (.DIODE(_03278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12902__B1 (.DIODE(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14449__A (.DIODE(_03282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12906__A1 (.DIODE(\u_uart_core.cfg_stop_bit ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14449__B (.DIODE(_03284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12908__A1 (.DIODE(\u_uart_core.cfg_stop_bit ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14452__A (.DIODE(_03249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12916__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14452__B (.DIODE(_03284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12923__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14461__B (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12926__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14468__A (.DIODE(_03303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12928__A2 (.DIODE(_06157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14470__A (.DIODE(_06644_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12928__A3 (.DIODE(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14470__B (.DIODE(_03253_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12938__A3 (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14471__A (.DIODE(_03306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12940__A1 (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14474__A (.DIODE(_03309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12943__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14475__A (.DIODE(_03310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12961__A1 (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14479__B (.DIODE(_03314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12962__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14480__A (.DIODE(_03315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12967__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14485__B (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12971__A1 (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14489__A (.DIODE(_03249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12995__B1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14489__B (.DIODE(_03324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13003__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14491__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13006__A3 (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14494__B (.DIODE(_03309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13008__C (.DIODE(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14495__A (.DIODE(_03330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13009__B1 (.DIODE(_06717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14497__B (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13013__A1 (.DIODE(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14509__B (.DIODE(_03344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13013__A3 (.DIODE(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14513__B (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13014__A3 (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14516__B2 (.DIODE(_06646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13021__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14521__A (.DIODE(_03282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13035__A1_N (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14521__B (.DIODE(_03314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13035__B1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14524__A (.DIODE(_03275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13039__A1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14528__B (.DIODE(_03344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13043__A1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14530__B1 (.DIODE(_03362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13050__A1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14533__B (.DIODE(_03324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13074__A (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14536__B (.DIODE(_03284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13075__A1 (.DIODE(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14539__B (.DIODE(_03264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13096__B (.DIODE(net13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14540__A (.DIODE(_03375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13096__C (.DIODE(_05338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14542__A (.DIODE(_03253_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13136__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14548__B (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13139__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14555__A (.DIODE(_03390_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13141__A1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14557__B (.DIODE(_03264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13149__A (.DIODE(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14558__A (.DIODE(_03393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13149__B (.DIODE(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14564__B (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13157__D (.DIODE(_02875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14567__A (.DIODE(_06644_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13160__B1 (.DIODE(\u_uart_core.app_rxfifo_empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14567__B (.DIODE(_03309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13161__A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14570__B (.DIODE(_03275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13162__B (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14573__B (.DIODE(_03344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13162__C (.DIODE(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14579__B (.DIODE(_03264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13164__B (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14586__B (.DIODE(_03275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13164__C (.DIODE(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14589__B (.DIODE(_03344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13166__A (.DIODE(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14594__A (.DIODE(_03253_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13169__C (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14597__B (.DIODE(_03284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13171__B (.DIODE(_05831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14599__A (.DIODE(_03282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13173__B (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14600__A (.DIODE(_03271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13174__A (.DIODE(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14604__B (.DIODE(_03271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13175__A (.DIODE(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14607__B (.DIODE(_03259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13176__A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14608__A (.DIODE(_03443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13176__B (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14610__B (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13177__A (.DIODE(_02886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14611__A (.DIODE(_03446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13178__A (.DIODE(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14613__B (.DIODE(_03324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13179__A (.DIODE(_02886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14614__A (.DIODE(_03449_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13181__A (.DIODE(_02889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14622__B (.DIODE(_03249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13183__A (.DIODE(_02890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14628__B (.DIODE(_03324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13186__A (.DIODE(_00334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14640__A (.DIODE(_03475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13188__A (.DIODE(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14643__A (.DIODE(_03478_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13190__A (.DIODE(_02886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14647__B (.DIODE(_03259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13192__A (.DIODE(_00335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14650__B (.DIODE(_03314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13194__A (.DIODE(_00336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14653__B (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13196__A (.DIODE(_00337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14656__B (.DIODE(_03259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13201__A (.DIODE(_02886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14661__B (.DIODE(_03314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13203__A (.DIODE(_00339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14662__A (.DIODE(_03497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13205__A (.DIODE(_00340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14665__A (.DIODE(_03500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13207__A (.DIODE(_00341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14667__B (.DIODE(_03309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13209__A (.DIODE(_00342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14668__A (.DIODE(_03503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13231__A (.DIODE(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14671__A (.DIODE(_03506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13241__B (.DIODE(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14675__A (.DIODE(_03337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13243__B (.DIODE(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14675__C (.DIODE(_03453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13245__B (.DIODE(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14685__C1 (.DIODE(_03516_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13254__B1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14700__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13254__B2 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14710__B2 (.DIODE(_06646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13262__A2 (.DIODE(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14715__B1 (.DIODE(_03546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13343__A (.DIODE(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14778__A (.DIODE(_03536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13369__A (.DIODE(_05368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14778__C (.DIODE(_03584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13371__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14782__B (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13374__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14789__C1 (.DIODE(_03615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13377__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14804__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13380__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14814__B2 (.DIODE(_06646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13383__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14819__B1 (.DIODE(_03645_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13386__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14882__A (.DIODE(_03635_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13389__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14882__C (.DIODE(_03683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13392__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14884__B (.DIODE(_06054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13394__A (.DIODE(\u_i2cm.prer[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14891__C1 (.DIODE(_03713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13396__A (.DIODE(_05368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14906__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13398__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14922__B1 (.DIODE(_03744_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13401__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14971__C1 (.DIODE(_03793_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13404__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14985__A (.DIODE(_03733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13406__B1 (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14985__C (.DIODE(_03782_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13407__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14989__B (.DIODE(_06047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13418__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15001__C1 (.DIODE(_03817_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13424__A (.DIODE(_02988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15013__A (.DIODE(_03303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13432__A (.DIODE(_02996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15015__A (.DIODE(_03306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13437__A (.DIODE(_03001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15017__A (.DIODE(_03310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13444__A (.DIODE(_03008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15019__A (.DIODE(_03315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13448__A (.DIODE(_03012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15026__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13457__A (.DIODE(_02988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15027__A (.DIODE(_03330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13490__A (.DIODE(_06304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15057__A (.DIODE(_03375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13490__B (.DIODE(_03054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15067__A (.DIODE(_03390_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13494__A (.DIODE(_02988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15069__A (.DIODE(_03393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13494__B (.DIODE(_03058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15092__C1 (.DIODE(_03908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13515__A (.DIODE(_03079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15097__A (.DIODE(_03282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13518__B (.DIODE(_03054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15098__A (.DIODE(_03271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13521__B2 (.DIODE(_06306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15104__A (.DIODE(_03443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13538__B (.DIODE(_03058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15106__A (.DIODE(_03446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13539__A (.DIODE(_03103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15108__A (.DIODE(_03449_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13542__A (.DIODE(_03106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15111__D (.DIODE(_03927_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13545__A (.DIODE(_03109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15127__A (.DIODE(_03475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13548__A (.DIODE(_03112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15129__A (.DIODE(_03478_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13553__B (.DIODE(_03054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15131__C1 (.DIODE(_03947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13554__A (.DIODE(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15143__A (.DIODE(_03497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13557__A (.DIODE(_03121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15145__A (.DIODE(_03500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13560__A (.DIODE(_03124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15147__A (.DIODE(_03503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13563__A (.DIODE(_03127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15149__A (.DIODE(_03506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13570__A (.DIODE(_03134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15153__A (.DIODE(_03849_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13573__A (.DIODE(_03137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15155__B (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13576__A (.DIODE(_03140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15162__A1 (.DIODE(_03970_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13580__A (.DIODE(_03144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15162__C1 (.DIODE(_03974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13590__A (.DIODE(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15177__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13593__A (.DIODE(_03157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15218__C1 (.DIODE(_04030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13596__A (.DIODE(_03160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15229__D (.DIODE(_04041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13605__A (.DIODE(_06304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15241__C1 (.DIODE(_04053_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13616__B (.DIODE(_03054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15255__A (.DIODE(_03994_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13619__B (.DIODE(_03058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15255__C (.DIODE(_04042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13628__B (.DIODE(_02988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15265__C1 (.DIODE(_04072_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13634__B (.DIODE(_03058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15280__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13640__A (.DIODE(_03204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15321__C1 (.DIODE(_04128_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13643__A (.DIODE(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15332__D (.DIODE(_04139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13646__A (.DIODE(_03210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15344__C1 (.DIODE(_04151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13649__A (.DIODE(_03213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15358__C (.DIODE(_04140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13657__A (.DIODE(_03221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15360__B (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13660__A (.DIODE(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15368__A1 (.DIODE(_04166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13663__A (.DIODE(_03227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15368__C1 (.DIODE(_04170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13674__A (.DIODE(_03238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15383__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13677__A (.DIODE(_03241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15412__C (.DIODE(_04208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13681__C (.DIODE(_03188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15424__C1 (.DIODE(_04226_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13681__D (.DIODE(_03245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15435__D (.DIODE(_04237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13685__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15447__C1 (.DIODE(_04249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13717__B2 (.DIODE(_06306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15461__A (.DIODE(_04190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13785__D (.DIODE(_03345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15461__C (.DIODE(_04238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13791__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15503__C1 (.DIODE(_02998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13823__B2 (.DIODE(_06306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15513__C1 (.DIODE(_02998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13891__C (.DIODE(_03421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15524__C1 (.DIODE(_02998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13891__D (.DIODE(_03446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15525__B2 (.DIODE(_06432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13895__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15530__A2 (.DIODE(_06432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13924__A (.DIODE(_06305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15559__B1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13996__C (.DIODE(_03522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15559__B2 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13996__D (.DIODE(_03547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15617__A2 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14000__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15622__A (.DIODE(_04361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14005__A (.DIODE(_02996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15627__A (.DIODE(_06781_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14007__A (.DIODE(_03001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15627__B (.DIODE(_04367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14009__A (.DIODE(_03008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15636__A (.DIODE(_04373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14011__A (.DIODE(_03012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15636__B (.DIODE(_04376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14049__A (.DIODE(_03079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15644__A (.DIODE(_04381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14065__A (.DIODE(_03103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15644__B (.DIODE(_04384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14067__A (.DIODE(_03106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15648__A (.DIODE(_04388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14069__A (.DIODE(_03109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15648__B (.DIODE(_04381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14071__A (.DIODE(_03112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15657__A (.DIODE(_04395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14075__A (.DIODE(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15657__B (.DIODE(_04397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14077__A (.DIODE(_03121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15658__A (.DIODE(_04398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14079__A (.DIODE(_03124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15661__A (.DIODE(_04401_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14081__A (.DIODE(_03127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15663__A (.DIODE(_04402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14086__A (.DIODE(_03134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15663__B (.DIODE(_04403_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14088__A (.DIODE(_03137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15667__A (.DIODE(_04407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14090__A (.DIODE(_03140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15667__B (.DIODE(_04376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14092__A (.DIODE(_03144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15671__A (.DIODE(_04411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14098__A (.DIODE(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15671__B (.DIODE(_04384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14100__A (.DIODE(_03157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15676__A (.DIODE(_06781_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14102__A (.DIODE(_03160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15676__B (.DIODE(_04388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14109__A (.DIODE(_06304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15679__A (.DIODE(_04361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14135__A (.DIODE(_03204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15679__B (.DIODE(_04373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14137__A (.DIODE(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15680__A (.DIODE(_04420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14139__A (.DIODE(_03210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15684__A (.DIODE(_06780_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14141__A (.DIODE(_03213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15684__B (.DIODE(_04424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14147__A (.DIODE(_03221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15688__A (.DIODE(_04407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14149__A (.DIODE(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15688__B (.DIODE(_04428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14151__A (.DIODE(_03227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15694__A (.DIODE(_04395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14159__A (.DIODE(_03238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15694__B (.DIODE(_04434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14161__A (.DIODE(_03241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15697__A (.DIODE(_04402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14165__B (.DIODE(_03630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15697__B (.DIODE(_04397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14165__D (.DIODE(_03710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15701__A (.DIODE(_04441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14168__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15701__B (.DIODE(_04424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14168__B (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15706__B (.DIODE(_04446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14269__B (.DIODE(_03762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15712__B (.DIODE(_04384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14269__D (.DIODE(_03810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15716__A (.DIODE(_06780_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14272__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15716__B (.DIODE(_04397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14374__B (.DIODE(_03862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15719__A (.DIODE(_04407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14374__D (.DIODE(_03910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15719__B (.DIODE(_04434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14377__A (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15724__A (.DIODE(_06775_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14377__B (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15724__B (.DIODE(_04411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14410__B2 (.DIODE(_06305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15727__A (.DIODE(_04411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14429__D (.DIODE(_03960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15727__B (.DIODE(_04388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14478__C (.DIODE(_03984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15730__A (.DIODE(_06780_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14478__D (.DIODE(_04009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15730__B (.DIODE(_04403_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14498__B (.DIODE(_06157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15733__A (.DIODE(_04441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14548__B2 (.DIODE(_06116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15733__B (.DIODE(_04403_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14553__A2 (.DIODE(_06116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15735__B1 (.DIODE(_04473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14581__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15750__A (.DIODE(_04407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14585__A2 (.DIODE(_06669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15750__B (.DIODE(_04361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14589__B1 (.DIODE(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15756__A (.DIODE(_04361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14589__B2 (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15756__B (.DIODE(_04496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14590__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15760__A (.DIODE(_04500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14590__B1 (.DIODE(_06674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15760__B (.DIODE(_04496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14594__A2 (.DIODE(_06669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15763__A (.DIODE(_04434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14597__A (.DIODE(_06283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15766__A (.DIODE(_04401_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14615__B1 (.DIODE(_06283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15770__B (.DIODE(_04477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14623__A (.DIODE(_06445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15772__B (.DIODE(_04384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14641__A (.DIODE(_06445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15775__A (.DIODE(_04424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14656__A1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15775__B (.DIODE(_04373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14656__A2 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15778__A (.DIODE(_04441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14657__B1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15778__B (.DIODE(_04367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14657__B2 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15781__B (.DIODE(_04403_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14662__A (.DIODE(_04125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15782__A (.DIODE(_04522_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14675__A (.DIODE(_04137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15787__B (.DIODE(_04376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14675__B (.DIODE(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15790__A (.DIODE(_06775_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14684__A (.DIODE(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15790__B (.DIODE(_04402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14688__A (.DIODE(_04152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15793__B (.DIODE(_04367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14704__A (.DIODE(_04168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15796__A (.DIODE(_04381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14704__B (.DIODE(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15798__A1 (.DIODE(_04533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14714__A (.DIODE(_04178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15802__A (.DIODE(_04496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14716__A (.DIODE(_04125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15806__B (.DIODE(_04397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14716__B (.DIODE(_04137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15807__A (.DIODE(_04547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14722__A (.DIODE(_04186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15808__A (.DIODE(_04395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14725__A (.DIODE(_04168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15816__B (.DIODE(_04428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14731__B (.DIODE(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15819__A (.DIODE(_04441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14743__A (.DIODE(_04207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15819__B (.DIODE(_04434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14753__A (.DIODE(_04217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15823__A (.DIODE(_04563_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14755__A (.DIODE(_04168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15825__C1 (.DIODE(_04565_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14755__B (.DIODE(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15828__A (.DIODE(_04500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14768__A (.DIODE(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15831__A (.DIODE(_04500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14771__A (.DIODE(_04235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15831__B (.DIODE(_04373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14785__A (.DIODE(_04249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15834__B (.DIODE(_04446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14787__A (.DIODE(_04168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15835__A (.DIODE(_04575_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14787__B (.DIODE(_04125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15837__A (.DIODE(_04411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14793__A (.DIODE(_04125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15838__A (.DIODE(_04578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14800__A (.DIODE(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15842__B (.DIODE(_04446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14812__B (.DIODE(_04137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15856__A (.DIODE(_04367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14816__A (.DIODE(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15856__B (.DIODE(_04496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14819__A (.DIODE(_04283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15857__A (.DIODE(_04597_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14824__B (.DIODE(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15859__A (.DIODE(_04446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14828__A (.DIODE(_04292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15859__B (.DIODE(_04402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14831__A (.DIODE(_04295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15862__A (.DIODE(_04424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14834__A (.DIODE(_04298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15862__B (.DIODE(_04401_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14856__B (.DIODE(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15863__A (.DIODE(_04603_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14860__A (.DIODE(_04324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15865__B (.DIODE(_04428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14868__B (.DIODE(_04137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15866__A (.DIODE(_04606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14872__A (.DIODE(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15870__B (.DIODE(_04388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14889__A (.DIODE(_04353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15873__A (.DIODE(_06781_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14897__A (.DIODE(_04361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15873__B (.DIODE(_04500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14900__A (.DIODE(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15876__A (.DIODE(_04401_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14903__A (.DIODE(_04367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15876__B (.DIODE(_04376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14913__B (.DIODE(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15879__A (.DIODE(_04381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14921__C (.DIODE(_04328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15879__B (.DIODE(_04428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15020__B (.DIODE(_04435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15882__B1 (.DIODE(_04613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15119__B (.DIODE(_04533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15884__D (.DIODE(_04624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15119__C (.DIODE(_04556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15920__B1 (.DIODE(_04659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15219__B (.DIODE(_04632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15934__B (.DIODE(_04661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15225__A (.DIODE(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15940__C1 (.DIODE(_04679_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15227__A (.DIODE(_04152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15945__A1 (.DIODE(_04683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15241__A (.DIODE(_04178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15956__C1 (.DIODE(_04695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15245__A (.DIODE(_04186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15981__B1 (.DIODE(_04717_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15257__A (.DIODE(_04207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15983__D (.DIODE(_04722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15265__A (.DIODE(_04217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16019__B1 (.DIODE(_04757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15275__A (.DIODE(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16033__B (.DIODE(_04759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15277__A (.DIODE(_04235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16044__A1 (.DIODE(_04781_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15285__A (.DIODE(_04249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16055__C1 (.DIODE(_04793_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15306__A (.DIODE(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16080__B1 (.DIODE(_04815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15308__A (.DIODE(_04283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16082__D (.DIODE(_04820_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15314__A (.DIODE(_04292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16119__B1 (.DIODE(_04856_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15316__A (.DIODE(_04295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16133__B (.DIODE(_04858_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15318__A (.DIODE(_04298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16133__D (.DIODE(_04870_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15336__A (.DIODE(_04324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16139__C1 (.DIODE(_04876_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15345__A (.DIODE(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16144__A1 (.DIODE(_04880_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15357__A (.DIODE(_04353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16155__C1 (.DIODE(_04892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15363__A (.DIODE(_04361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16180__B1 (.DIODE(_04914_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15365__A (.DIODE(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16182__D (.DIODE(_04919_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15367__A (.DIODE(_04367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16194__A (.DIODE(_04398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15381__B (.DIODE(_04761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16206__A (.DIODE(_04420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15579__B (.DIODE(_04989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16241__B1 (.DIODE(_04976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15579__C (.DIODE(_05012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16263__B (.DIODE(_04979_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15579__D (.DIODE(_05037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16271__A (.DIODE(_04522_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15680__B (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16273__C1 (.DIODE(_05009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15680__C (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16287__A (.DIODE(_04547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15687__A (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16288__A (.DIODE(_04395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15687__C (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16299__A (.DIODE(_04563_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15695__A2 (.DIODE(_05137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16301__C1 (.DIODE(_05037_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15699__A2 (.DIODE(_05137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16308__A (.DIODE(_04575_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15700__A1 (.DIODE(\u_i2cm.prer[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16310__A (.DIODE(_04578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15704__A1 (.DIODE(\u_i2cm.prer[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16324__A (.DIODE(_04597_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15711__A2 (.DIODE(_05137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16328__A (.DIODE(_04603_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15720__B1 (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16330__A (.DIODE(_04606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15721__A (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16342__B1 (.DIODE(_05072_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15721__B (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16344__D (.DIODE(_05080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15723__A1 (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16380__B1 (.DIODE(_05115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15723__A2 (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16394__B (.DIODE(_05117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15723__A3 (.DIODE(_05137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16400__C1 (.DIODE(_05135_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15724__A (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16405__A1 (.DIODE(_05139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15724__B (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16416__C1 (.DIODE(_05151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15724__C (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16441__B1 (.DIODE(_05173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15725__A (.DIODE(_00753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16443__A (.DIODE(_05105_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15726__C (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16443__D (.DIODE(_05178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15733__A1 (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16479__B1 (.DIODE(_05213_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15739__B_N (.DIODE(\u_uart_core.app_rxfifo_empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16493__B (.DIODE(_05215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15740__A1 (.DIODE(_05184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16499__C1 (.DIODE(_05233_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15741__A1 (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16504__A1 (.DIODE(_05237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15747__A1 (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16515__C1 (.DIODE(_05249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15747__B1 (.DIODE(_05191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16540__B1 (.DIODE(_05271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15749__A1 (.DIODE(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16542__D (.DIODE(_05276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15753__B_N (.DIODE(_00771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16578__B1 (.DIODE(_05311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15755__A1 (.DIODE(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16592__B (.DIODE(_05313_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15757__A1 (.DIODE(_05199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16598__C1 (.DIODE(_05331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15759__A1 (.DIODE(_02875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16603__A1 (.DIODE(_05335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15761__A (.DIODE(_00797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16614__C1 (.DIODE(_05347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15761__B (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16639__B1 (.DIODE(_05369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15762__A (.DIODE(_05202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16641__D (.DIODE(_05374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15765__A (.DIODE(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16642__A (.DIODE(_05645_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15765__B (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16645__A (.DIODE(_05621_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15768__A (.DIODE(_05538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16658__A2 (.DIODE(_05376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15787__A (.DIODE(_05538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16662__A2 (.DIODE(_05376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15799__C (.DIODE(_05950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16667__B1 (.DIODE(\u_i2cm.ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15800__B (.DIODE(_05954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16674__A2 (.DIODE(_05376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15800__C (.DIODE(_00690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16678__A1 (.DIODE(\u_i2cm.i2c_busy ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15802__B (.DIODE(_05954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16684__A (.DIODE(_07269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15802__C (.DIODE(_00691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16684__B (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15804__C (.DIODE(_00692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16686__A1 (.DIODE(_07269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15806__A (.DIODE(_00698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16686__A2 (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15806__B (.DIODE(_05954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16686__A3 (.DIODE(_05376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15807__A (.DIODE(_05222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16688__A (.DIODE(_00753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15828__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16689__C (.DIODE(_05765_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15829__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16692__A2 (.DIODE(_05410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15830__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16693__A (.DIODE(_06891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15831__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16695__A1 (.DIODE(_07269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15832__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16700__A (.DIODE(_05410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15833__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16700__B_N (.DIODE(\u_uart_core.app_rxfifo_empty ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15834__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16701__A1 (.DIODE(_05421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15835__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16701__A2 (.DIODE(_05809_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15836__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16702__B2 (.DIODE(_06885_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15837__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16708__B1 (.DIODE(_05428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15838__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16715__B_N (.DIODE(_00771_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15839__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16719__A1 (.DIODE(_05436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15840__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16723__B (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15841__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16724__A (.DIODE(_03183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15842__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16725__A (.DIODE(_03183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15843__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16726__A (.DIODE(net7),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15844__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16726__B (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15845__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16728__A (.DIODE(_05806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15846__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16728__B (.DIODE(\u_uart_core.reg_ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15847__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16733__A1 (.DIODE(\u_i2cm.i2c_busy ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15848__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16745__A (.DIODE(_05806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15849__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16756__C (.DIODE(_06257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15850__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16758__C (.DIODE(_06211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15851__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16761__A (.DIODE(_00698_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15852__A0 (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16780__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15852__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16781__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15853__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16782__A1 (.DIODE(\u_uart_core.reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15854__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16782__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15855__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16783__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15856__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16784__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15857__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16785__A1 (.DIODE(\u_uart_core.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15858__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16785__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15860__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16786__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15861__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16787__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15862__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16788__A1 (.DIODE(\u_uart_core.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15863__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16788__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15864__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16789__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15865__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16790__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15866__A1 (.DIODE(\u_i2cm.prer[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16791__A1 (.DIODE(\u_uart_core.reg_rdata[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15866__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16791__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15867__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16792__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15868__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16793__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15873__A0 (.DIODE(_00479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16794__A1 (.DIODE(\u_uart_core.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15878__S (.DIODE(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16794__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15879__A0 (.DIODE(_00729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16795__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15881__A0 (.DIODE(\u_usb_host.out_tx_oen ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16796__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15881__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16797__A1 (.DIODE(\u_uart_core.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15882__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16797__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15883__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16798__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15884__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16799__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15885__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16800__A1 (.DIODE(\u_uart_core.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15886__A0 (.DIODE(\u_usb_host.out_tx_oen ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16800__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15886__S (.DIODE(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16801__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15888__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16802__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15892__A0 (.DIODE(_00508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16803__A1 (.DIODE(\u_uart_core.reg_rdata[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15897__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16803__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15898__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16804__A0 (.DIODE(net196),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15900__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16804__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15901__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16805__A1 (.DIODE(\u_uart_core.reg_ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15903__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16805__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15904__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16808__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15909__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16809__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15910__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16810__A0 (.DIODE(\u_usb_host.out_tx_oen ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15915__A0 (.DIODE(_00538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16810__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15916__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16813__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15919__S (.DIODE(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16814__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15920__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16815__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15921__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16816__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15923__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16822__A0 (.DIODE(_00479_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15933__A0 (.DIODE(_00733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16824__S (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15934__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16826__A0 (.DIODE(_00733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15935__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16826__S (.DIODE(_00722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15936__S (.DIODE(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16827__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15937__S (.DIODE(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16828__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15939__A0 (.DIODE(_00725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16829__S (.DIODE(_00315_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15944__A0 (.DIODE(_00552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16830__A0 (.DIODE(_00729_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15951__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16830__S (.DIODE(_00722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15952__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16831__A0 (.DIODE(_00731_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15953__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16831__S (.DIODE(_00722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15954__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16835__A0 (.DIODE(_00508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15955__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16837__S (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15956__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16839__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15957__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16843__A1 (.DIODE(\u_i2cm.ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15958__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16845__A0 (.DIODE(_00723_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15959__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16845__S (.DIODE(_00722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15960__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16846__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15961__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16847__A0 (.DIODE(_00488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15962__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16848__A0 (.DIODE(_00727_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15963__A0 (.DIODE(_00737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16848__S (.DIODE(_00722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15974__A1 (.DIODE(_00616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16850__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15974__S (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16851__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15975__A1 (.DIODE(_00614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16853__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15975__S (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16859__S (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15976__A1 (.DIODE(_00628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16861__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15976__S (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16862__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15977__A1 (.DIODE(_00618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16863__A0 (.DIODE(_00725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15977__S (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16863__S (.DIODE(_00722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15978__A1 (.DIODE(_00620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16870__S (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15978__S (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16872__A0 (.DIODE(_00517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15979__A1 (.DIODE(_00622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16877__A0 (.DIODE(_00547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15979__S (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16881__A0 (.DIODE(_00533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15988__A1 (.DIODE(_00624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16882__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15988__S (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16883__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15989__A1 (.DIODE(_00626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16884__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15989__S (.DIODE(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16885__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15995__S (.DIODE(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16891__S (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16027__A0 (.DIODE(\u_i2cm.u_byte_ctrl.core_rxd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16895__A0 (.DIODE(_00576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16027__S (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16897__A1 (.DIODE(_00620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16028__S (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16897__S (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16029__S (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16898__A1 (.DIODE(_00614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16030__S (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16898__S (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16031__S (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16899__A1 (.DIODE(_00626_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16032__S (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16899__S (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16033__S (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16900__A1 (.DIODE(_00628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16034__S (.DIODE(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16900__S (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16035__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16901__A1 (.DIODE(_00622_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16036__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16901__S (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16037__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16902__A1 (.DIODE(_00624_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16038__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16902__S (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16039__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16910__S (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16040__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16912__A0 (.DIODE(_00503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16041__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16913__A1 (.DIODE(_00616_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16042__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16913__S (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16043__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16915__A1 (.DIODE(_00618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16044__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16915__S (.DIODE(_00173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16045__A1 (.DIODE(\u_i2cm.prer[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16951__A0 (.DIODE(\u_i2cm.u_byte_ctrl.core_rxd ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16045__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16959__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16046__A1 (.DIODE(\u_i2cm.prer[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16960__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16046__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16961__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16047__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16962__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16048__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16963__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16049__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16964__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16050__S (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16965__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16052__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16966__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16053__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16967__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16054__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16968__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16055__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16969__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16056__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16970__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16057__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16971__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16058__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16972__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16059__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16973__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16060__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16974__S (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16061__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16976__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16062__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16977__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16063__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16978__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16064__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16979__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16065__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16980__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16066__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16981__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16067__S (.DIODE(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16982__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16085__S (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16983__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16086__S (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16984__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16087__S (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16985__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16088__S (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16986__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16089__S (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16987__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16090__S (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16988__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16091__S (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16989__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16092__S (.DIODE(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16990__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16093__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16991__S (.DIODE(_00276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16094__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17009__S (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16102__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17010__S (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16103__A1 (.DIODE(\u_uart_core.cfg_stop_bit ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17011__S (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16104__A1 (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17012__S (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16105__A1 (.DIODE(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17013__S (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16114__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17014__S (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16116__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17015__A0 (.DIODE(_00746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16117__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17015__S (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16118__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17016__S (.DIODE(_00739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16119__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17018__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16120__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17019__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16120__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17020__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16121__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17021__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16121__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17022__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16122__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17023__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16122__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17024__A0 (.DIODE(_00735_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16123__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17024__S (.DIODE(_00722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16123__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17025__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16124__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17026__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16124__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17031__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16125__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17032__A1 (.DIODE(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16125__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17037__A0 (.DIODE(\u_usb_host.out_tx_oen ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16126__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17037__S (.DIODE(_00314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16126__S (.DIODE(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17041__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16128__A1 (.DIODE(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17043__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16132__S (.DIODE(\u_usb_host.out_tx_oen ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17044__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16133__S (.DIODE(\u_usb_host.out_tx_oen ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17045__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16143__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17046__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16144__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17047__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16144__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17048__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16145__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17049__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16145__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17050__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16146__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17051__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16146__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17052__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16147__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17053__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16147__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17054__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16148__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17055__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16148__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17056__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16149__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17057__S (.DIODE(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16149__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17058__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16150__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17060__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16150__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17061__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16151__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17063__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16151__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17064__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16152__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17065__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16152__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17066__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16153__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17067__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16153__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17068__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16154__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17069__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16154__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17075__A1 (.DIODE(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16155__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17075__S (.DIODE(\u_usb_host.out_tx_oen ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16155__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17076__S (.DIODE(\u_usb_host.out_tx_oen ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16156__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17077__A0 (.DIODE(_00737_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16156__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17077__S (.DIODE(_00722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16157__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17079__S (.DIODE(_00229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16157__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17080__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16158__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17081__S (.DIODE(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16158__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17087__S (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16159__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17094__S (.DIODE(_00242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16159__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17096__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16160__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17096__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16160__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17097__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16161__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17097__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16161__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17098__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16162__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17098__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16162__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17099__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16163__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17099__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16163__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17100__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16164__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17100__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16164__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17103__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16165__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17103__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16165__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17104__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16166__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17104__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16166__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17105__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16167__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17105__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16167__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17106__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16168__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17106__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16168__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17107__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16169__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17107__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16169__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17108__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16170__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17108__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16170__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17109__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16171__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17109__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16171__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17110__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16172__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17110__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16172__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17111__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16173__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17111__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16173__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17112__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16176__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17112__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16176__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17113__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16177__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17113__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16177__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17114__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16178__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17114__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16178__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17115__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16179__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17115__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16179__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17116__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16180__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17116__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16180__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17117__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16181__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17117__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16181__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17118__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16182__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17118__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16182__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17119__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16183__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17119__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16183__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17120__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16184__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17120__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16184__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17121__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16185__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17121__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16185__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17122__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16186__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17122__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16186__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17123__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16187__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17123__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16187__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17124__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16188__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17124__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16188__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17125__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16189__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17125__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16189__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17126__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16190__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17126__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16190__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17127__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16191__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17127__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16191__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17128__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16192__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17128__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16192__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17129__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16193__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17129__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16193__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17130__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16194__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17130__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16194__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17131__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16195__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17131__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16195__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17132__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16196__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17132__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16196__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17133__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16197__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17133__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16197__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17134__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16198__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17134__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16198__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17135__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16199__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17135__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16199__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17136__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16200__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17136__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16200__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17137__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16201__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17137__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16201__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17138__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16202__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17138__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16202__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17139__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16203__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17139__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16203__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17140__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16204__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17140__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16204__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17141__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16205__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17141__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16205__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17142__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16206__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17142__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16206__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17143__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16207__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17143__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16207__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17144__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16208__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17144__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16208__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17145__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16209__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17145__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16209__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17146__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16210__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17146__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16210__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17147__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16211__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17147__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16211__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17148__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16212__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17148__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16212__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17149__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16213__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17149__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16213__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17150__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16214__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17150__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16214__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17151__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16215__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17151__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16215__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17152__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16216__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17152__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16216__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17153__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16217__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17153__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16217__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17154__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16218__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17154__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16218__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17155__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16219__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17155__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16219__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17156__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16220__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17156__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16220__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17157__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16221__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17157__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16221__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17158__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16222__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17158__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16222__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17159__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16223__A0 (.DIODE(_00630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17159__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16223__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17160__S0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16223__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17160__S1 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16224__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17161__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16224__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17161__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16225__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17162__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16225__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17162__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16226__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17163__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16226__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17163__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16227__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17164__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16227__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17164__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16228__A0 (.DIODE(_00634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17165__A1 (.DIODE(_00631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16228__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17165__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16228__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17165__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16229__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17166__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16229__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17166__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16230__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17167__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16230__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17167__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16231__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17168__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16231__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17168__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16232__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17169__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16232__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17169__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16233__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17170__A1 (.DIODE(_00635_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16233__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17170__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16234__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17170__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16234__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17171__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16235__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17171__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16235__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17172__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16236__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17172__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16236__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17173__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16237__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17173__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16237__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17174__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16238__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17174__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16238__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17175__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16239__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17175__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16239__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17176__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16240__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17176__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16240__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17177__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16241__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17177__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16241__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17178__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16242__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17178__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16242__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17179__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16243__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17179__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16243__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17180__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16244__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17180__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16244__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17181__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16245__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17181__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16245__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17182__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16246__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17182__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16246__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17183__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16247__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17183__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16247__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17184__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16248__A3 (.DIODE(_00653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17184__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16248__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17185__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16248__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17185__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16249__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17186__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16249__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17186__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16250__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17187__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16250__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17187__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16251__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17188__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16251__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17188__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16252__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17189__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16252__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17189__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16253__A0 (.DIODE(_00654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17190__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16253__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17190__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16253__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17191__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16254__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17191__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16254__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17192__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16255__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17192__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16255__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17193__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16256__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17193__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16256__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17194__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16257__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17194__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16257__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17195__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16258__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17195__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16258__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17196__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16259__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17196__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16260__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17197__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16262__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17197__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16263__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17198__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16264__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17198__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16265__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17199__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16266__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17199__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16267__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17200__A1 (.DIODE(_00659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16268__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17200__S0 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16269__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17200__S1 (.DIODE(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16270__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17201__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16271__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17201__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16272__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17202__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16273__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17202__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16274__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17203__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16275__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17203__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16276__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17204__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16277__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17204__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16278__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17205__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16279__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17205__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16280__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17206__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16281__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17206__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16282__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17207__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16283__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17207__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16284__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17208__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16285__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17208__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16286__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17209__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16287__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17209__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16288__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17210__S0 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16289__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17210__S1 (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16290__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17211__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16291__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17212__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16292__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17213__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16293__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17214__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16294__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17215__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16295__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17217__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16296__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17221__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16297__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17223__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16298__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17224__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16299__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17225__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16300__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17226__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16301__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17227__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16302__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17228__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16303__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17229__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16304__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17230__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16305__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17231__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16306__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17232__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16307__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17233__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16308__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17234__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16309__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17235__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16310__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17236__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16311__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17237__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16312__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17238__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16313__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17239__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16314__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17240__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16315__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17241__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16316__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17242__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16317__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17243__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16318__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17244__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16319__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17245__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16320__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17246__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16321__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17247__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16322__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17248__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16323__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17249__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16324__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17250__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16325__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17251__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16326__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17252__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16327__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17253__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16328__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17254__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16329__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17255__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16330__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17256__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16331__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17257__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16332__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17258__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16333__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17259__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16334__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17260__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16335__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17261__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16336__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17262__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16337__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17263__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16338__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17264__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16339__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17265__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16340__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17266__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16341__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17267__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16342__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17268__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16343__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17269__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16344__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17270__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16345__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17271__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16346__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17272__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16347__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17273__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16348__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17274__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16349__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17275__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16350__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17276__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16351__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17277__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16352__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17278__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16353__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17279__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16354__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17280__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16355__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17281__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16356__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17282__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16357__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17283__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16358__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17284__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16360__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17285__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16361__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17286__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16362__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17287__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16363__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17288__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16364__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17289__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16365__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17290__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16366__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17291__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16367__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17292__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16551__CLK (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17293__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16600__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17294__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16601__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17295__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16602__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17296__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16603__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17297__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16604__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17298__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16605__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17299__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16606__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17300__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16607__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17301__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16721__CLK (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17302__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16760__CLK (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17303__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16784__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17304__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16785__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17305__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16786__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17306__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16787__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17307__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16788__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17311__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16789__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17312__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16790__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17313__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16791__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17314__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16792__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17315__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16793__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17318__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16794__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17558__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16795__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17736__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16796__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17737__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16797__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17738__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16798__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17739__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16799__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17740__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16800__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17741__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16801__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17742__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16802__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17743__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16803__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17744__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16804__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17745__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16805__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17746__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16806__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17747__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16807__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17749__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16808__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17750__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16809__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17751__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16810__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17758__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16811__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17759__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16812__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17760__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16813__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17761__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16814__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17762__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16815__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17763__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16816__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17764__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16817__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17765__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16818__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17766__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16819__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17767__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16820__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17768__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16821__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17769__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16822__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17770__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16823__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17771__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16824__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17772__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16825__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17773__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16826__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17776__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16827__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17777__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16828__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17778__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16829__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17779__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16830__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17780__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16831__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17782__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16832__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17785__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16833__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17786__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16834__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17787__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16835__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17788__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16836__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17789__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16837__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17790__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16838__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17791__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16839__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17792__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16840__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17793__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16841__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17794__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16842__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17795__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16843__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17796__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16844__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17797__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16845__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17798__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16846__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17799__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16847__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17800__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16848__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17801__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16849__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17802__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16850__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17803__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16851__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17804__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16852__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17805__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16853__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17806__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16854__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17807__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16855__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17808__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16856__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17809__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16857__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17810__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16858__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17811__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16859__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17812__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16860__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17813__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16861__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17815__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16862__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17817__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16863__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17818__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16864__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17819__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16865__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17820__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16866__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17821__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16867__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17822__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16868__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17823__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16869__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17824__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16870__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17825__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16871__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17826__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16872__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17827__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16873__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17828__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16874__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17829__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16875__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17830__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16876__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17831__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16877__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17832__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16878__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17833__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16880__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17834__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16882__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17835__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16886__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17836__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16887__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17837__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16888__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17838__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16889__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17839__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16890__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17842__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16891__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17843__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16892__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17845__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16893__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17849__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16894__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17850__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16895__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17853__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16896__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17854__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16897__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17855__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16898__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17856__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16899__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17857__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16900__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17858__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16901__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17860__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16902__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17862__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16903__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17863__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16904__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17865__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16905__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17866__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16906__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17867__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16907__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17868__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16908__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17869__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16909__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17870__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16910__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17871__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16911__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17872__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16912__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17873__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16913__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17874__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16914__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18092__CLK (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16915__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18491__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16916__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18492__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16917__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18493__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16918__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18494__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16919__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18495__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16920__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18496__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16921__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18497__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16922__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18498__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17539__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18531__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17540__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18532__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17541__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18533__CLK (.DIODE(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17542__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18534__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17543__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18535__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17544__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18536__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17545__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18537__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17546__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18538__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17579__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18539__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17580__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18540__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17581__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18541__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17582__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18542__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17583__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18543__CLK (.DIODE(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17584__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18544__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17587__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18547__CLK (.DIODE(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17589__CLK (.DIODE(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18557__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17592__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18558__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17593__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18559__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17594__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18560__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17595__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18561__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17596__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18562__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17597__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18563__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17598__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18564__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17599__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18565__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17600__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18566__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17601__CLK (.DIODE(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18572__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17602__CLK (.DIODE(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18573__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17603__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18580__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17604__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18581__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17605__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18582__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17606__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18583__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17607__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18584__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17608__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18585__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17609__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18586__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17610__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18587__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17611__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18588__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17612__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18589__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17613__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18590__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17614__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18591__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17615__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18592__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17616__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18593__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17617__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18594__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17618__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18595__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17619__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18596__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17620__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18597__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17621__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18598__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17622__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18599__CLK (.DIODE(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17623__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18602__CLK (.DIODE(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17624__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18604__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17625__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18605__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17626__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18606__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17627__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18607__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17628__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18608__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17629__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18609__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17630__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18610__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17631__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18611__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17632__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18612__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17633__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18613__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17634__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18614__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17635__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18620__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17636__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18621__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17637__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18622__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17638__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18623__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17639__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18624__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17640__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18625__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17641__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18626__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17642__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18627__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17643__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18660__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17644__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18661__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17645__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18662__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17646__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18663__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17647__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18664__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17648__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18665__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17649__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18668__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17650__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18669__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17651__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18670__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17652__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18671__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17653__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18672__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17654__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18673__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17655__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18674__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17656__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18675__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17657__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18676__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17658__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18678__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17659__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18679__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17660__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18684__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17661__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18685__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17662__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18686__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17663__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18687__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17664__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18688__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17665__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18689__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17666__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18690__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17667__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18691__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17668__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18692__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17669__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18693__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17670__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18694__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17671__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18695__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17672__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18696__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17673__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18697__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17674__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18700__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17675__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18700__SET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17708__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18701__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17709__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18701__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17710__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18702__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17711__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18702__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17712__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18703__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17713__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18703__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17714__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18704__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17715__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18704__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17716__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18705__SET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17717__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18706__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17718__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18707__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17719__CLK (.DIODE(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18708__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17720__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18709__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17721__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18710__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17722__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18711__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17723__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18712__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17724__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18713__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17725__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18714__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17726__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18715__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17727__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18716__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17728__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18717__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17729__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18718__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17730__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18718__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17731__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18719__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17732__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18719__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17733__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18720__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17734__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18721__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17735__CLK (.DIODE(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18721__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17736__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18722__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17737__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18722__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17738__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18723__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17739__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18724__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17740__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18724__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17741__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18725__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17742__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18725__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17743__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18726__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17744__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18726__D (.DIODE(\u_uart_core.rxd ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17745__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18726__SET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17746__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18727__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17747__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18727__SET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17748__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18728__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17748__SET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18728__SET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17749__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18729__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17749__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18729__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17750__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18730__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17750__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18730__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17751__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18731__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17751__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18731__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17752__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18732__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17752__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18732__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17753__SET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18733__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17754__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18733__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17755__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18734__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17756__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18734__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17757__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18735__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17758__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18735__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17759__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18736__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17760__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18736__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17761__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18737__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17762__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18737__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17763__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18738__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17764__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18738__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17765__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18739__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17766__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18739__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17767__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18740__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17768__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18740__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17769__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18741__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17770__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18741__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17770__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18742__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17771__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18742__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17772__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18743__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17773__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18743__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17774__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18744__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17774__SET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18744__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17775__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18745__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17775__SET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18745__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17776__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18746__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17776__SET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18746__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17777__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18747__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17777__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18747__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17778__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18748__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17778__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18748__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17779__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18749__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17779__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18750__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17780__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18751__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17780__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18751__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17781__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18752__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17781__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18752__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17782__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18753__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17782__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18753__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17783__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18754__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17783__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18754__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17784__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18755__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17784__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18756__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17785__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18756__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17785__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18757__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17786__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18757__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17786__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18758__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17787__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18758__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17788__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18759__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17789__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18759__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17790__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18760__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17791__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18760__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17791__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18761__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17792__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18761__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17793__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18762__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17794__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18762__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17795__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18763__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17796__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18763__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17796__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18764__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17797__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18764__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17798__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18765__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17799__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18765__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17800__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18766__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17801__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18766__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17802__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18767__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17803__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18767__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17804__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18768__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17805__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18768__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17806__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18769__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17807__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18769__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17807__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18770__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17808__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18770__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17808__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18771__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17809__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18771__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17809__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18772__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17810__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18772__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17810__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18773__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17811__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18773__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17811__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18774__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17812__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18774__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17812__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18775__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17813__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18775__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17813__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18776__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17814__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18776__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17814__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18777__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17815__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18777__RESET_B (.DIODE(net45),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17815__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18778__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17816__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18778__RESET_B (.DIODE(net45),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17816__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18779__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17817__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18779__RESET_B (.DIODE(net134),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17817__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18780__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17818__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18780__RESET_B (.DIODE(net134),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17818__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18781__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17819__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18781__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17819__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18782__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17820__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18782__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17820__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18783__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17821__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18783__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17821__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18784__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17822__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18784__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17822__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18785__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17823__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18785__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17823__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18786__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17824__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18786__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17824__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18787__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17825__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18787__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17825__RESET_B (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18788__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17826__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18788__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17826__RESET_B (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18789__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17827__RESET_B (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18789__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17828__RESET_B (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18790__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17829__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18790__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17830__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18791__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17830__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18791__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17831__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18792__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17831__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18792__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17832__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18793__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17832__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18793__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17833__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18794__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17833__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18794__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17834__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18795__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17834__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18795__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17835__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18796__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17835__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18796__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17836__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18797__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17836__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18797__D (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17837__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18797__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17837__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18798__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17838__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18798__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17838__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18799__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17839__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18799__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17839__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18800__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17840__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18800__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17840__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18801__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17841__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18801__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17841__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18802__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17842__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18803__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17842__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18804__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17843__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18805__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17843__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18806__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17844__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18807__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17844__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18808__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17845__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18809__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17845__D (.DIODE(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18810__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17845__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18811__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17846__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18812__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17847__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18813__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17848__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18813__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17849__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18814__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17850__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18814__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17850__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18815__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17851__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18815__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17851__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18816__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17852__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18816__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17852__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18817__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17853__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18817__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17853__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18818__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17854__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18818__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17855__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18819__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17856__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18819__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17857__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18820__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17857__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18820__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17858__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18821__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17858__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18821__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17859__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18822__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17859__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18822__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17860__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18823__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17860__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18823__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17861__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18824__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17861__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18824__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17862__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18825__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17862__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18825__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17863__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18826__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17863__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18826__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17864__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18827__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17865__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18827__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17866__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18828__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17867__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18828__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17868__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18829__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17869__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18829__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17869__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18830__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17870__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18830__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17871__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18831__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17872__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18831__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17872__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18832__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17873__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18832__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17873__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18833__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17874__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18834__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17874__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18835__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17875__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18836__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17875__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18837__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17876__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18838__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17876__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18839__D (.DIODE(\u_usb_host.u_phy.rx_dn_ms ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17877__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18839__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17877__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18840__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17878__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18841__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17878__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18842__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17879__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18843__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17879__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18844__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17880__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18845__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17880__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18846__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17881__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18847__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17882__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18848__D (.DIODE(\u_usb_host.u_core.send_sof_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17883__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18848__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17884__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18849__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17885__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18850__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17886__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18851__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17887__D (.DIODE(\u_usb_host.u_phy.rx_dn_ms ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18852__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17887__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18853__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17888__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18854__D (.DIODE(_00129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17889__CLK (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18854__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17889__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18855__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17890__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18856__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17891__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18857__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17892__CLK (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18858__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17892__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18859__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17893__CLK (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18860__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17893__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18861__D (.DIODE(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17894__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18861__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17895__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18862__D (.DIODE(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17896__D (.DIODE(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18862__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17896__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18863__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17897__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18864__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17898__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18865__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17899__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18866__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17900__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18867__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17901__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18868__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17902__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18869__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17903__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18870__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17904__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18871__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17905__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18872__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17906__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18873__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17907__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18874__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17908__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18874__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17909__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18875__CLK (.DIODE(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17910__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18875__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17911__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18876__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17912__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18876__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17913__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18877__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17914__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18878__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17915__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18879__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17916__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18880__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17917__D (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18881__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17917__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18882__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17918__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18883__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17919__CLK (.DIODE(clknet_2_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18884__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17919__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18885__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17920__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18886__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17920__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18887__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17921__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18888__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17921__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18889__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17922__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18890__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17922__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18891__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17923__CLK (.DIODE(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18892__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17923__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18893__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17924__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18894__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17924__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18895__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17925__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18896__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17926__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18897__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17927__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18898__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17928__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18899__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17929__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18900__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17930__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18901__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17931__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18902__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17932__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18903__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17933__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18904__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17934__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18905__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17935__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18906__SET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17935__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18907__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17936__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18908__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17936__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18909__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17937__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18910__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17937__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18911__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17938__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18912__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17938__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18913__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17939__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18914__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17940__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18914__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17941__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18915__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17942__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18915__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17943__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18916__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17944__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18916__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17945__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18917__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17946__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18917__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17947__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18918__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17948__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18918__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17949__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18919__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17950__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18919__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17951__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18920__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17952__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18920__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17953__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18921__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17954__SET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18921__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17955__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18922__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17956__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18922__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17957__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18923__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17958__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18923__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17959__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18924__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17960__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18924__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17961__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18925__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17962__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18925__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17962__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18926__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17963__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18926__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17963__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18927__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17964__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18927__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17964__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18928__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17965__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18928__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17965__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18929__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17966__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18929__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17966__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18930__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17967__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18930__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17967__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18931__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17968__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18931__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17968__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18932__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17969__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18932__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17969__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18933__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17970__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18933__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17970__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18934__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17971__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18934__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17971__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18935__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17972__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18935__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17972__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18936__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17973__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18936__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17973__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18937__CLK (.DIODE(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17974__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18937__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17974__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18938__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17975__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18938__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17975__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18939__CLK (.DIODE(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17976__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18939__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17976__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18940__CLK (.DIODE(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17977__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18940__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17977__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18941__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17978__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18942__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17978__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18943__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17979__CLK (.DIODE(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18944__CLK (.DIODE(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17979__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18944__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17980__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18945__CLK (.DIODE(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17980__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18945__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17981__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18946__CLK (.DIODE(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17981__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18946__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17982__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18947__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17982__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18947__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17983__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18948__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17983__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18948__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17984__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18949__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17984__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18949__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17985__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18950__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17985__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18950__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17986__CLK (.DIODE(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18951__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17986__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18951__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17987__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18952__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17988__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18952__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17989__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18953__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17990__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18953__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17991__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18954__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17992__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18954__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17993__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18955__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17994__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18955__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17995__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18956__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17996__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18956__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17997__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18957__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17998__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18957__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17999__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18958__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18000__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18958__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18001__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18959__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18002__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18959__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18003__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18960__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18004__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18960__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18005__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18961__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18006__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18961__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18007__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18962__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18007__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18962__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18008__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18963__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18008__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18963__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18009__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18964__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18009__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18964__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18010__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18965__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18010__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18965__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18011__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18966__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18011__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18966__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18012__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18967__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18012__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18967__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18013__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18968__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18013__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18969__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18014__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18969__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18014__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18970__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18015__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18970__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18015__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18971__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18016__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18971__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18016__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18972__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18017__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18972__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18017__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18973__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18018__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18973__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18018__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18974__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18019__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18974__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18019__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18975__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18020__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18975__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18020__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18976__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18021__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18976__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18021__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18977__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18022__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18977__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18022__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18978__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18023__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18978__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18023__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18979__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18024__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18979__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18024__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18980__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18025__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18980__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18025__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18981__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18026__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18981__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18026__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18982__CLK (.DIODE(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18027__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18982__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18027__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18983__CLK (.DIODE(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18028__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18983__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18028__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18984__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18029__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18984__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18029__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18985__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18030__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18986__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18031__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18987__CLK (.DIODE(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18032__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18987__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18033__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18988__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18034__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18988__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18035__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18989__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18036__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18989__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18036__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18990__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18037__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18991__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18037__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18991__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18038__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18992__CLK (.DIODE(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18038__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18992__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18039__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18993__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18039__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18994__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18040__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18995__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18040__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18996__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18041__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18997__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18042__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18997__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18043__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18998__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18044__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18998__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18045__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18999__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18046__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18999__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18047__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19000__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18048__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19000__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18049__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19001__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18050__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19001__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18051__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19002__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18051__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19002__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18052__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19003__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18053__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19003__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18054__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19004__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18055__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19005__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18056__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19006__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18057__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19007__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18058__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19008__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18059__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19009__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18060__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19010__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18061__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19011__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18062__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19012__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18063__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19013__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18064__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19014__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18065__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19015__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18066__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19016__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18067__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19017__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18068__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19018__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18069__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19019__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18070__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19020__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18071__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19021__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18072__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19022__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18073__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19023__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18074__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19024__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18075__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19025__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18076__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19026__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18077__CLK (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19027__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18077__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19028__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18078__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19029__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18079__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19030__D (.DIODE(_02434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18080__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19030__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18081__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19031__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18082__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19032__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18083__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19033__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18084__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19034__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18085__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19035__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18086__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19036__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18087__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19037__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18088__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19038__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18089__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19039__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18090__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19040__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18091__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19041__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18092__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19042__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18093__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19043__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18094__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19044__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18095__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19045__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18096__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19046__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18097__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19047__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18098__RESET_B (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19048__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18099__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19049__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18100__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19050__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18101__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19051__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18102__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19052__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18103__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19053__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18104__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19054__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18105__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19055__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18106__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19056__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18107__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19057__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18108__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19058__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18109__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19059__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18110__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19060__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18111__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19061__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18112__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19062__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18113__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19063__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18114__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19064__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18115__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19065__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18116__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19066__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18117__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19067__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18118__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19068__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18119__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19069__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18120__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19070__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18121__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19071__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18122__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19072__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18123__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19073__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18124__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19074__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18125__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19075__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18126__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19076__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18127__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19077__CLK (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18128__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19077__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18129__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19078__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18130__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19079__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18131__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19080__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18132__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19081__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18133__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19082__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18134__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19083__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18135__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19084__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18136__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19085__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18137__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19086__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18138__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19087__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18139__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19088__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18140__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19089__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18141__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19090__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18142__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19091__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18143__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19092__CLK (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18144__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19092__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18145__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19093__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18146__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19094__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18147__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19095__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18148__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19096__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18149__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19097__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18150__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19098__SET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18151__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19099__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18152__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19100__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18153__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19101__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18154__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19102__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18155__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19103__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18156__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19104__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18157__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19105__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18158__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19106__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18159__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19107__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18160__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19108__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18161__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19109__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18162__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19110__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18163__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19111__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18164__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19112__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18165__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19113__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18166__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19114__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18167__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19115__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18168__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19116__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18169__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19117__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18169__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19118__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18170__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19119__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18170__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19120__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18171__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19121__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18171__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19121__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18172__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19122__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18173__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19122__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18174__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19123__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18175__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19123__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18175__SET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19124__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18176__CLK (.DIODE(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19125__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18176__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19126__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18177__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19127__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18177__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19127__SET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18178__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19128__CLK (.DIODE(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18178__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19128__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18179__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19129__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18179__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19129__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18180__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19130__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18180__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19130__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18181__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19131__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18181__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19131__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18182__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19132__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18182__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19132__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18183__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19133__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18183__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19133__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18184__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19134__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18184__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19134__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18185__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19135__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18185__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19135__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18186__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19136__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18186__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19136__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18187__SET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19137__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18188__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19137__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18189__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19138__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18190__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19138__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18190__SET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19139__SET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18191__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19140__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18192__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19141__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18193__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19142__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18194__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19142__SET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18195__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19143__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18196__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19144__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18197__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19145__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18198__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19146__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18199__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19147__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18200__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19148__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18201__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19149__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18202__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19150__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18203__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19151__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18204__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19152__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18205__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19153__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18206__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19154__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18207__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19155__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18208__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19156__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18209__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19157__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18210__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19158__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18211__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19159__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18212__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19160__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18213__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19161__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18214__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19162__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18215__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19163__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18216__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19164__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18217__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19165__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18218__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19166__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18219__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19167__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18220__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19168__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18221__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19169__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18222__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19170__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18223__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19171__D (.DIODE(_02575_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18224__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19171__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18225__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19172__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18226__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19173__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18227__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19174__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18228__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19175__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18229__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19176__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18230__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19177__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18231__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19178__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18232__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19179__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18233__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19180__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18234__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19181__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18235__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19182__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18236__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19183__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18237__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19184__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18238__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19185__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18239__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19186__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18240__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19187__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18241__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19188__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18242__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19189__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18243__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19190__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18244__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19191__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18245__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19192__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18246__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19193__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18247__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19194__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18248__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19195__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18249__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19196__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18250__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19197__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18251__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19198__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18252__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19199__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18253__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19200__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18254__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19201__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18255__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19202__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18256__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19203__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18257__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19204__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18258__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19205__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18259__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19206__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18260__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19207__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18261__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19208__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18262__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19209__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18263__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19210__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18264__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19211__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18265__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19212__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18266__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19213__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18267__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19214__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18268__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19215__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18269__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19216__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18270__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19217__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18271__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19218__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18272__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19219__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18273__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19220__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18274__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19221__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18275__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19222__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18276__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19223__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18277__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19224__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18278__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19225__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18279__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19226__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18280__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19227__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18281__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19228__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18282__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19229__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18283__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19230__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18284__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19231__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18285__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19232__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18286__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19233__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18287__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19234__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18288__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19235__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18289__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19236__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18290__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19237__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18291__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19238__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18292__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19239__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18293__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19240__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18294__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19241__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18295__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19242__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18296__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19243__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18297__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19244__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18298__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19245__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18299__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19246__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18300__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19247__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18301__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19248__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18302__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19249__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18303__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19250__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18304__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19251__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18305__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19252__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18306__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19253__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18307__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19254__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18308__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19255__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18309__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19256__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18310__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19257__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18311__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19258__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18312__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19259__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18313__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19260__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18314__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19261__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18315__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19262__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18316__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19263__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18317__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19264__RESET_B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18318__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19265__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18319__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19266__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18320__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19267__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18321__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19268__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18322__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19269__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18323__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19270__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18324__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19271__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18325__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19272__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18326__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19273__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18327__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19274__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18328__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19275__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18329__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19276__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18330__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19277__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18331__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19278__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18332__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19279__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18333__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19280__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18334__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19281__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18335__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19282__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18336__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19283__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18337__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19284__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18338__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19285__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18339__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19286__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18340__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19287__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18341__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19288__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18342__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19289__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18343__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19290__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18344__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19291__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18345__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19292__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18346__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19293__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18347__RESET_B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19294__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18348__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19295__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18348__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19296__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18349__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19297__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18349__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19298__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18350__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19299__RESET_B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18350__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19300__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18351__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19300__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18351__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19301__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18352__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19301__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18352__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19302__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18353__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19302__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18353__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19303__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18354__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19303__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18354__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19304__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18355__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19304__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18355__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19305__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18356__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19305__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18356__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19306__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18357__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19306__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18357__RESET_B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19307__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18358__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19307__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18358__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19308__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18359__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19308__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18359__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19309__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18360__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19309__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18360__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19310__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18361__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19310__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18361__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19311__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18362__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19311__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18362__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19312__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18363__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19312__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18363__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19313__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18364__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19313__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18364__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19314__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18365__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19314__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18365__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19315__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18366__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19315__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18366__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19316__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18367__CLK (.DIODE(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19316__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18367__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19317__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18368__SET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19317__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18369__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19318__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18370__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19318__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18371__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19319__CLK (.DIODE(\u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18372__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19319__RESET_B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18373__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19320__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18374__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19320__SET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18375__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19321__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18376__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19321__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18377__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19322__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18378__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19322__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18379__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19323__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18380__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19323__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18380__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19324__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18381__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19324__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18381__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19325__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18382__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19325__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18382__RESET_B (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19326__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18383__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19326__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18383__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19327__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18384__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19327__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18384__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19328__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18385__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19328__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18385__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19329__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18386__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19329__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18386__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19330__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18387__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19330__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18388__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19331__CLK (.DIODE(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18389__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19331__RESET_B (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18390__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19332__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18391__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19332__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18392__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19333__CLK (.DIODE(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18393__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19333__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18393__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19334__CLK (.DIODE(clknet_leaf_27_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18394__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19334__RESET_B (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18394__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19335__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18395__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19336__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18395__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19337__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18396__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19338__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18396__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19339__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18397__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19340__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18397__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19341__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18398__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19342__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18398__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19343__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18399__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19343__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18400__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19344__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18401__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19344__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18402__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19345__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18403__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19345__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18404__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19346__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18404__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19347__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18405__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19347__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18406__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19348__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18407__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19348__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18408__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19349__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18408__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19349__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18409__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19350__CLK (.DIODE(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18409__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19350__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18410__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19351__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18410__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19351__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18411__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19352__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18411__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19352__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18412__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19353__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18412__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19353__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18413__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19354__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18413__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19355__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18414__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19356__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18414__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19356__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18415__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19357__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18415__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19358__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18416__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19359__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18416__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19359__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18417__CLK (.DIODE(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19360__CLK (.DIODE(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18417__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19360__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18418__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19361__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18419__CLK (.DIODE(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19361__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18419__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19362__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18420__CLK (.DIODE(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19363__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18420__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19363__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18421__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19364__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18422__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19364__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18423__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19365__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18424__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19365__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18425__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19366__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18426__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19366__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18426__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19367__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18427__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19367__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18427__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19368__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18428__CLK (.DIODE(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19368__RESET_B (.DIODE(net194),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18428__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19369__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18429__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19369__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18429__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19370__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18430__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19371__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18430__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19371__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18431__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19372__CLK (.DIODE(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18431__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19372__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18432__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19373__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18432__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19374__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18433__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19375__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18433__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19376__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18434__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19377__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18434__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19378__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18435__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19378__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18435__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19379__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18436__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19379__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18436__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19380__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18437__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19380__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18437__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19381__CLK (.DIODE(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18438__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19381__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18438__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19382__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18439__CLK (.DIODE(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19383__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18439__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19383__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18440__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19384__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18440__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19385__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18441__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19385__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18441__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19386__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18442__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19386__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18442__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19387__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18443__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19387__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18444__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19388__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18445__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19388__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18446__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19389__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18446__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19389__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18447__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19390__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18447__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19390__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18448__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19391__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18448__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19391__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18449__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19392__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18449__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19393__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18450__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19393__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18450__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19394__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18451__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19394__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18451__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19395__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18452__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19395__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18452__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19396__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18453__CLK (.DIODE(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19396__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18453__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19397__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18454__CLK (.DIODE(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19398__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18454__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19399__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18455__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19400__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18455__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19400__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18456__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19401__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18456__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19402__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18457__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19403__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18457__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19404__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18458__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19405__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18458__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19406__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18459__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19406__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18459__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19407__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18460__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19407__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18460__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19408__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18461__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19409__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18461__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19410__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18462__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19411__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18462__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19412__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18463__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19413__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18464__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19414__CLK (.DIODE(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18465__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19414__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18465__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19415__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18466__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19416__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18466__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19417__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18467__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19418__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18467__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19419__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18468__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19420__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18468__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19421__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18469__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19421__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18469__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19422__CLK (.DIODE(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18470__CLK (.DIODE(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19422__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18470__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19423__CLK (.DIODE(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18471__CLK (.DIODE(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19423__RESET_B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18471__RESET_B (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19424__CLK (.DIODE(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18472__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19424__SET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18472__SET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19425__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18473__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19426__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18473__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19427__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18474__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19427__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18474__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19428__CLK (.DIODE(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18475__CLK (.DIODE(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19428__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18475__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19429__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18476__CLK (.DIODE(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19429__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18476__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19430__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18477__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19430__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18477__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19431__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18478__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19431__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18478__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19432__CLK (.DIODE(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18479__CLK (.DIODE(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19432__RESET_B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18479__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19433__D (.DIODE(_00171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18480__CLK (.DIODE(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19434__D (.DIODE(_00170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18480__RESET_B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31618,6 +33001,26 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_0_0_usb_clk_A (.DIODE(clknet_2_0_1_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_1_0_usb_clk_A (.DIODE(clknet_2_0_1_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_2_0_usb_clk_A (.DIODE(clknet_2_1_1_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_3_0_usb_clk_A (.DIODE(clknet_2_1_1_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_4_0_usb_clk_A (.DIODE(clknet_2_2_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -31638,6 +33041,21 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_0_0_usb_clk_A (.DIODE(clknet_3_0_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_10_0_usb_clk_A (.DIODE(clknet_3_5_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_11_0_usb_clk_A (.DIODE(clknet_3_5_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_12_0_usb_clk_A (.DIODE(clknet_3_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -31658,6 +33076,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_1_0_usb_clk_A (.DIODE(clknet_3_0_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_2_0_usb_clk_A (.DIODE(clknet_3_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -31668,12 +33091,42 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_4_0_usb_clk_A (.DIODE(clknet_3_2_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_5_0_usb_clk_A (.DIODE(clknet_3_2_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_6_0_usb_clk_A (.DIODE(clknet_3_3_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_7_0_usb_clk_A (.DIODE(clknet_3_3_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_8_0_usb_clk_A (.DIODE(clknet_3_4_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_9_0_usb_clk_A (.DIODE(clknet_3_4_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_0_app_clk_A (.DIODE(clknet_2_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_0_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_0_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31703,22 +33156,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_105_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_105_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_106_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_106_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_108_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_107_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_109_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_108_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_109_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31728,57 +33186,57 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_10_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_10_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_110_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_110_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_111_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_111_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_112_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_112_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_113_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_113_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_114_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_114_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_115_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_115_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_116_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_116_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_117_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_117_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_118_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_118_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_119_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_119_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31788,57 +33246,52 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_120_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_120_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_121_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_121_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_122_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_122_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_123_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_123_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_124_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_124_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_125_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_125_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_126_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_126_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_127_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_128_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_128_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_129_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_129_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31848,57 +33301,57 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_12_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_12_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_130_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_130_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_131_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_131_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_132_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_132_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_133_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_133_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_134_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_134_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_135_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_135_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_136_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_136_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_137_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_137_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_138_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_138_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_139_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_139_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31908,172 +33361,152 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_13_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_13_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_140_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_140_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_141_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_141_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_142_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_142_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_143_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_143_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_144_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_144_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_145_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_146_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_146_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_147_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_147_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_148_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_148_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_149_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_app_clk_A (.DIODE(clknet_2_2_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_app_clk_A (.DIODE(clknet_2_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_150_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_152_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_151_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_153_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_152_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_154_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_153_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_155_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_154_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_156_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_155_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_157_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_156_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_158_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_157_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_159_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_158_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_159_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_160_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_app_clk_A (.DIODE(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_161_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_163_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_160_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_164_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_161_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_165_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_162_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_166_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_163_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_167_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_164_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_168_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_165_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_166_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_167_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_168_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_169_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_169_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32083,27 +33516,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_170_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_170_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_171_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_171_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_172_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_172_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_173_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_173_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32123,57 +33556,62 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_177_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_177_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_178_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_178_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_179_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_179_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_app_clk_A (.DIODE(clknet_2_2_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_app_clk_A (.DIODE(clknet_2_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_180_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_180_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_181_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_181_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_183_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_182_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_184_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_183_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_185_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_184_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_186_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_185_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_187_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32183,22 +33621,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_app_clk_A (.DIODE(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_189_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_app_clk_A (.DIODE(clknet_2_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_app_clk_A (.DIODE(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_192_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_194_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_195_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_196_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_app_clk_A (.DIODE(clknet_2_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32208,7 +33661,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_1_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_1_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32218,7 +33671,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_20_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_20_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32228,7 +33681,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_21_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_21_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32238,7 +33691,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32248,7 +33701,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32258,7 +33711,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_24_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_24_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32268,7 +33721,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_25_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_25_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32278,12 +33731,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_app_clk_A (.DIODE(clknet_2_0_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_app_clk_A (.DIODE(clknet_2_1_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32293,17 +33751,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_app_clk_A (.DIODE(clknet_2_1_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_app_clk_A (.DIODE(clknet_2_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32313,7 +33771,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32323,17 +33781,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_30_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_31_app_clk_A (.DIODE(clknet_2_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_31_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_32_app_clk_A (.DIODE(clknet_2_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_32_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_32_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32343,97 +33811,102 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_app_clk_A (.DIODE(clknet_2_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_36_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_36_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_39_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_app_clk_A (.DIODE(clknet_2_0_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_39_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_app_clk_A (.DIODE(clknet_2_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_42_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_42_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32443,57 +33916,57 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_53_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_app_clk_A (.DIODE(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_app_clk_A (.DIODE(clknet_2_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32503,17 +33976,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_60_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_60_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32528,7 +34001,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_65_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_65_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32558,92 +34031,97 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_6_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_6_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_70_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_70_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_71_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_71_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_72_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_72_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_74_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_73_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_75_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_74_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_77_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_75_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_78_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_76_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_79_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_77_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_app_clk_A (.DIODE(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_78_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_79_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_80_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_app_clk_A (.DIODE(clknet_2_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_81_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_80_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_82_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_81_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_83_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_82_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_84_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_83_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_85_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_84_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_86_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_85_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_86_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32653,12 +34131,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_88_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_88_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_89_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_89_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32668,7 +34146,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32728,97 +34206,57 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_9_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_9_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_1_0_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_1_0_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_2_0_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_2_0_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_3_0_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_3_0_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_4_0_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_4_0_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_5_0_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_5_0_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_6_0_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_6_0_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_7_0_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_7_0_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold100_A (.DIODE(_00693_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold34_A (.DIODE(_00698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold103_A (.DIODE(_00698_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold7_A (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold104_A (.DIODE(net137),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold106_A (.DIODE(_00694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold32_A (.DIODE(usb_rstn),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold44_A (.DIODE(net45),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold46_A (.DIODE(uart_rstn),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold48_A (.DIODE(i2c_rstn),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold76_A (.DIODE(_00696_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold87_A (.DIODE(_00695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold90_A (.DIODE(_06211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold8_A (.DIODE(usb_rstn),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32833,7 +34271,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input12_A (.DIODE(reg_be),
+ sky130_fd_sc_hd__diode_2 ANTENNA_input12_A (.DIODE(reg_be[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33013,6 +34451,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_input45_A (.DIODE(uart_rstn),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_input47_A (.DIODE(wbd_clk_int),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -33023,6 +34466,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_input5_A (.DIODE(i2c_rstn),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_input6_A (.DIODE(io_in[0]),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -33043,21 +34491,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output48_A (.DIODE(net48),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output49_A (.DIODE(net49),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output50_A (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output51_A (.DIODE(net51),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -33078,11 +34511,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output70_A (.DIODE(net70),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output73_A (.DIODE(net73),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output75_A (.DIODE(net75),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output76_A (.DIODE(net76),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output77_A (.DIODE(net77),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output78_A (.DIODE(net78),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -33113,12 +34566,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer23_A (.DIODE(net42),
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer1_A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer25_A (.DIODE(net13),
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer35_A (.DIODE(net42),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer36_A (.DIODE(net42),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer37_A (.DIODE(net42),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer38_A (.DIODE(net42),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer39_A (.DIODE(net42),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer41_A (.DIODE(net137),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33128,17 +34606,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater87_A (.DIODE(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater93_A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater88_A (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater94_A (.DIODE(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater89_A (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater95_A (.DIODE(net46),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater96_A (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33167,6 +34650,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33347,6 +34834,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_0_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33399,6 +34890,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33439,10 +34934,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33455,6 +34946,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33467,10 +34962,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33507,6 +34998,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33515,10 +35010,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33543,6 +35034,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33571,7 +35066,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33599,10 +35094,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33623,6 +35114,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33647,7 +35142,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33675,10 +35170,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33687,6 +35178,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_100_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33715,6 +35210,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_100_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_100_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33727,6 +35226,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_100_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33751,6 +35254,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_100_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_100_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33767,6 +35274,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_100_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33779,10 +35290,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_100_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33803,6 +35310,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_100_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33811,23 +35322,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_100_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33835,35 +35358,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_100_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33871,71 +35398,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33943,123 +35466,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34067,67 +35610,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_79 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34135,83 +35662,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34223,7 +35738,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34231,7 +35750,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34267,7 +35786,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34287,10 +35806,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_101_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34315,10 +35830,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_101_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34327,6 +35838,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_101_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34347,10 +35862,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_101_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34359,75 +35870,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34435,51 +35950,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_362 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_370 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34491,195 +35998,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34687,23 +36182,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_101_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34711,75 +36210,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_985 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34831,10 +36322,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_102_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34871,6 +36358,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_102_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34887,7 +36378,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34911,39 +36402,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34951,107 +36430,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35059,43 +36534,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35103,27 +36566,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35131,43 +36590,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35175,31 +36618,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35207,59 +36638,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_730 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_736 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35267,39 +36690,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_803 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35311,19 +36730,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35331,51 +36750,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35383,15 +36802,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_993 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_103_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35399,7 +36822,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35427,11 +36850,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35443,10 +36866,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_103_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35459,10 +36878,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_103_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35491,19 +36906,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_103_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35531,23 +36942,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35555,43 +36962,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35599,23 +37006,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35627,7 +37026,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35639,43 +37042,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35683,87 +37066,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_103_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_103_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35771,35 +37182,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35815,143 +37222,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_940 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35959,23 +37362,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_978 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36015,6 +37410,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_104_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36051,6 +37450,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_104_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36071,10 +37474,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_104_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36095,6 +37494,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_104_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36103,19 +37506,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36127,39 +37542,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_228 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36167,59 +37562,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36227,11 +37618,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36239,11 +37630,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36251,27 +37646,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36279,23 +37678,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36303,183 +37706,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_854 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_860 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_869 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36487,15 +37902,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_104_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36503,31 +37922,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36539,7 +37966,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36555,6 +37982,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_105_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_105_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36587,6 +38018,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_105_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36599,10 +38034,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_105_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36635,6 +38066,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_105_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_105_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36643,10 +38078,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_105_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36667,207 +38098,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_484 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_493 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36875,67 +38282,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36943,119 +38342,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37063,39 +38462,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_105_977 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_105_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37151,10 +38558,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_106_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37163,10 +38566,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_106_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37203,11 +38602,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37231,51 +38630,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_106_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37283,19 +38674,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37303,19 +38710,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37323,11 +38730,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37335,51 +38738,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_106_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_106_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37387,19 +38802,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37407,39 +38830,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37447,59 +38874,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_106_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37507,51 +38938,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_762 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37559,115 +38978,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37679,7 +39090,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37687,7 +39102,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37727,6 +39142,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_107_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_107_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37755,10 +39174,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_107_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37787,10 +39202,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_107_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37811,7 +39222,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37819,51 +39234,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37871,47 +39266,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_107_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37919,19 +39322,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_370 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37939,43 +39338,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37983,43 +39370,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38027,51 +39418,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38079,15 +39466,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_107_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38095,27 +39494,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_746 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38123,55 +39510,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_872 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_876 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38183,47 +39578,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_953 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_965 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_977 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38231,10 +39642,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_108_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38259,6 +39666,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_108_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38275,10 +39686,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_108_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38311,6 +39718,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_108_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_108_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38319,10 +39730,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_12 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_108_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38351,6 +39758,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_108_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_108_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38363,23 +39774,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38387,31 +39798,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38419,123 +39822,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38543,27 +39926,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_108_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38571,75 +39958,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_636 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38647,19 +40010,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38667,23 +40022,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38691,23 +40046,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38715,39 +40062,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_108_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38755,39 +40106,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38799,6 +40150,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_109_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_109_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_109_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38835,11 +40194,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38859,6 +40218,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_109_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38871,11 +40234,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38891,6 +40254,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_109_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38899,10 +40266,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_109_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38919,11 +40282,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38931,23 +40294,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38955,39 +40322,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38995,103 +40346,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_109_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_109_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39103,31 +40470,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39143,11 +40506,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39155,19 +40530,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_109_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39179,19 +40570,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39199,183 +40586,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_904 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_915 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_948 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_983 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_995 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39427,6 +40770,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39527,11 +40874,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39559,10 +40906,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39571,23 +40914,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_10_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39595,15 +40942,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39623,10 +40974,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39643,6 +40990,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39683,10 +41034,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39695,6 +41042,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39735,10 +41086,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39751,6 +41098,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39783,6 +41134,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39791,10 +41146,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39807,6 +41158,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_10_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39843,6 +41198,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39947,10 +41306,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_110_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39975,6 +41330,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_110_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_110_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39983,10 +41342,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_110_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40011,11 +41366,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40031,6 +41386,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_110_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40055,11 +41414,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40067,55 +41422,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_110_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_110_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40123,83 +41494,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40207,23 +41566,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40231,91 +41590,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_631 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40323,27 +41670,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_688 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_110_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40355,23 +41710,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40379,59 +41734,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40439,79 +41794,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_920 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_934 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_940 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_947 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_954 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_970 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40523,7 +41842,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40535,11 +41854,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40615,10 +41934,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_111_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40651,27 +41966,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40679,59 +41998,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40739,187 +42054,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_680 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40927,27 +42226,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40955,31 +42246,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40987,35 +42278,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_865 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_878 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41023,55 +42302,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41095,11 +42374,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41115,6 +42394,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_112_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41123,19 +42406,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_112_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41163,7 +42442,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41211,167 +42490,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_112_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_112_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41379,15 +42674,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41399,11 +42686,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41411,51 +42698,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41463,19 +42754,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41483,19 +42778,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_112_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_732 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41511,31 +42810,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41543,47 +42846,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_112_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_932 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_952 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41595,7 +42914,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_113_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41603,10 +42926,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_113_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41639,10 +42958,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_113_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41659,10 +42974,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_113_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41675,6 +42986,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_113_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41703,6 +43018,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_113_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41715,10 +43034,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_113_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41727,99 +43042,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_113_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41827,11 +43150,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41839,43 +43162,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_113_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41883,103 +43214,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_113_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41987,95 +43326,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42087,47 +43422,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_926 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42139,15 +43470,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_986 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_113_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42171,10 +43506,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_114_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42187,11 +43518,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42243,6 +43574,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_114_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42251,10 +43586,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_114_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42283,35 +43614,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42319,255 +43658,295 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_114_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_699 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_114_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_735 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_114_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42575,19 +43954,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42595,99 +43974,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_948 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42695,7 +44058,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42707,7 +44070,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_1003 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_115_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42719,6 +44086,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_115_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_115_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42731,10 +44102,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_115_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42755,6 +44122,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_115_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_115_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42767,10 +44138,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_115_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42795,11 +44162,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42827,7 +44194,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42839,71 +44206,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_236 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42911,139 +44262,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_491 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43059,19 +44402,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43079,79 +44414,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_646 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_649 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43159,79 +44462,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_748 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_754 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_770 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43239,23 +44510,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43263,71 +44534,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_955 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_115_967 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_115_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_115_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43335,11 +44618,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43367,6 +44650,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_116_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43387,7 +44674,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43415,19 +44702,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_116_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43451,6 +44734,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_116_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43467,27 +44754,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43495,71 +44774,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43567,127 +44850,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43695,19 +44970,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43715,31 +44990,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43747,19 +45018,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_671 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43767,87 +45042,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_835 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_845 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43855,71 +45122,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43931,7 +45194,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43951,10 +45214,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_117_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43971,6 +45230,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_117_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43979,10 +45242,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_117_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43995,6 +45254,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_117_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_117_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44003,10 +45266,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_117_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44027,10 +45286,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_117_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44039,6 +45294,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_117_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44063,15 +45322,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_117_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_117_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44083,47 +45350,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_234 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44131,43 +45394,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44183,19 +45434,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_117_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_117_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44203,23 +45462,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44231,19 +45490,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44251,87 +45510,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_117_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44343,39 +45606,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44383,7 +45634,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44391,67 +45642,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_874 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44459,39 +45714,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_980 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_117_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44527,6 +45786,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_118_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44539,10 +45802,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_118_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44567,10 +45826,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_118_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44587,10 +45842,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_118_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44611,10 +45862,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_118_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44631,11 +45878,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_118_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44643,39 +45902,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44683,59 +45934,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44743,135 +45990,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44879,35 +46134,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_736 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44915,123 +46190,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_964 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_971 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45039,10 +46306,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_118_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_118_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_1003 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_119_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45055,10 +46330,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_119_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45083,6 +46354,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_119_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45091,10 +46366,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_119_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45107,7 +46378,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45127,6 +46398,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_119_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45135,10 +46410,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_119_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45163,10 +46434,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_119_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45179,39 +46446,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45223,115 +46486,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45347,27 +46574,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45375,31 +46602,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_524 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45407,59 +46618,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45467,43 +46678,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_720 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45511,23 +46710,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45535,55 +46734,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_119_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45591,51 +46794,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45687,10 +46894,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45787,7 +46990,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45807,15 +47010,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45823,59 +47026,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45899,6 +47106,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_11_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45907,10 +47118,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45959,11 +47166,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45975,6 +47182,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46207,7 +47418,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46235,6 +47446,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_120_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46255,6 +47470,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_120_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_120_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46263,6 +47482,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_120_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46271,6 +47494,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_120_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46287,6 +47514,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_120_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46315,19 +47546,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_161 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46335,7 +47558,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46343,15 +47566,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46359,11 +47590,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46371,15 +47598,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46387,15 +47610,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46403,23 +47626,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_120_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46427,123 +47654,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_543 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_575 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46551,99 +47750,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46651,71 +47850,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_944 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46803,10 +48010,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_121_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46867,35 +48070,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_121_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_121_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46903,83 +48150,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_121_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_121_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46987,107 +48246,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47095,39 +48362,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47135,91 +48382,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_927 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47227,15 +48462,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47247,6 +48478,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_121_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_122_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47259,10 +48494,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_122_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47275,6 +48506,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_122_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_122_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47307,10 +48542,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_122_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47323,6 +48554,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_122_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47331,10 +48566,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_122_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47371,10 +48602,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_122_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47383,67 +48610,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_122_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47451,7 +48682,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47459,11 +48690,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_122_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47471,15 +48714,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_122_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47487,47 +48738,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_122_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_122_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47539,47 +48814,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47587,59 +48862,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47647,55 +48926,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47703,87 +48978,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_966 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47795,7 +49062,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47839,7 +49114,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47867,10 +49142,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_123_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47891,6 +49162,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_123_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47923,19 +49198,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47943,51 +49230,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47995,15 +49286,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48011,11 +49302,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48023,47 +49314,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_358 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48071,51 +49342,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_492 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48123,179 +49390,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_512 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_123_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_746 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_763 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48307,71 +49534,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_974 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_986 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48403,6 +49622,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_124_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48431,10 +49654,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_124_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48455,6 +49674,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_124_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48479,6 +49702,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_124_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48499,23 +49726,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48527,71 +49750,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_300 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48599,35 +49810,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_124_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_124_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48635,51 +49858,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48687,31 +49918,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48719,7 +49958,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_124_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48735,39 +49990,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48775,39 +50018,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_761 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_770 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48815,99 +50038,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_960 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48919,6 +50138,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_125_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_125_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48931,10 +50154,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_125_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48967,6 +50186,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_125_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_125_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48987,6 +50210,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_125_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_125_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48995,10 +50222,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_125_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49047,31 +50270,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_125_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49083,27 +50302,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49115,39 +50330,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_125_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_125_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_125_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_125_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49159,11 +50402,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49175,91 +50418,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49267,23 +50506,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_125_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49291,11 +50538,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49303,59 +50550,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49363,59 +50594,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_792 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_810 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_826 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49423,87 +50630,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49531,7 +50734,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49547,10 +50750,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_126_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49567,6 +50766,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_126_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_126_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49583,10 +50786,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_126_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49619,10 +50818,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_126_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49643,35 +50838,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_126_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_126_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49679,31 +50882,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49711,79 +50918,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49791,27 +50994,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_446 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49819,23 +51010,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_126_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49847,67 +51042,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_631 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_637 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49915,19 +51090,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49935,51 +51106,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49987,31 +51158,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_846 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50019,31 +51182,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_899 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_911 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50051,35 +51202,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_944 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_948 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50091,7 +51230,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50099,10 +51242,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_127_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50139,6 +51278,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_127_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50155,6 +51298,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_127_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_127_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50179,11 +51326,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50207,6 +51354,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_127_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50219,15 +51370,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50235,47 +51390,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50283,23 +51438,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_127_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50307,11 +51470,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50319,39 +51482,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_127_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_127_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50359,95 +51554,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50459,15 +51650,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_706 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50475,91 +51658,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_831 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_839 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_844 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_851 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_859 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_880 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50567,47 +51718,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_127_977 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_127_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50631,10 +51790,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_128_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50663,10 +51818,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_128_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50695,6 +51846,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_128_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50715,7 +51870,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50727,6 +51882,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_128_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50743,67 +51902,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50819,7 +51970,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50827,15 +51982,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50843,59 +52002,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_407 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50903,47 +52042,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_128_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50951,135 +52106,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_128_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51087,7 +52230,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51095,15 +52242,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51119,27 +52270,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_910 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_128_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51147,31 +52302,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51179,7 +52322,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51191,7 +52334,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_129_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51227,11 +52374,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51243,10 +52390,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_129_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51271,10 +52414,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_129_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51311,10 +52450,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_129_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51327,11 +52462,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_129_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51339,155 +52486,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51503,35 +52650,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51539,55 +52674,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_665 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_129_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51595,83 +52734,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_861 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_867 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51679,63 +52842,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_939 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_946 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_967 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51887,51 +53022,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51939,11 +53062,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51951,39 +53070,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52287,10 +53402,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_130_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52315,6 +53426,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_130_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_130_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52375,6 +53490,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_130_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52395,10 +53514,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_130_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52415,11 +53530,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52431,31 +53546,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_175 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52463,99 +53570,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52563,47 +53670,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_130_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_130_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_130_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52611,15 +53750,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52627,127 +53778,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_782 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52755,31 +53894,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52787,23 +53926,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_886 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52811,39 +53942,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_971 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52855,7 +53978,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52863,7 +53990,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52927,6 +54054,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_131_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52935,10 +54066,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_131_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52951,6 +54078,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_131_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52959,11 +54090,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52983,167 +54114,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_131_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53155,19 +54258,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53175,75 +54270,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53251,91 +54338,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_675 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53343,51 +54402,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_758 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53395,47 +54430,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_870 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53443,39 +54474,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_978 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53483,10 +54510,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_132_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53527,6 +54550,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_132_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_132_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53551,10 +54578,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_132_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53563,6 +54586,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_132_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53579,7 +54606,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53611,99 +54638,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53711,47 +54714,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53763,63 +54786,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53827,15 +54838,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53843,27 +54862,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53871,43 +54890,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53915,15 +54926,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_779 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53939,99 +54942,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_913 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_932 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_940 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_944 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_950 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54043,10 +55014,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_132_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_133_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54063,10 +55042,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_133_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54079,6 +55054,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_133_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_133_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54095,7 +55074,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54103,10 +55082,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_133_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54119,10 +55094,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_133_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54183,47 +55154,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54231,7 +55206,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54239,39 +55226,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54279,31 +55266,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_133_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_133_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54311,15 +55314,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_133_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54327,27 +55338,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54355,23 +55366,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54379,31 +55398,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_591 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_599 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54411,19 +55422,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_133_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54431,23 +55446,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54471,31 +55486,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_133_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_133_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_133_834 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54503,51 +55534,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54591,10 +55618,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_134_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54627,6 +55650,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_134_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54639,10 +55666,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_134_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54655,6 +55678,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_134_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54671,10 +55698,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_134_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54683,6 +55706,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_134_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54699,11 +55726,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54711,31 +55738,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_166 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_174 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54743,59 +55758,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_134_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54803,27 +55842,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54831,55 +55862,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54887,19 +55906,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54907,123 +55930,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55031,11 +56054,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55043,15 +56062,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55059,63 +56078,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_964 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55127,7 +56142,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55143,6 +56158,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_135_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_135_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55163,10 +56182,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_135_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55175,10 +56190,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_135_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55207,6 +56218,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_135_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55227,11 +56242,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55263,15 +56278,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_135_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55279,123 +56298,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_370 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55403,15 +56402,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_135_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55419,35 +56430,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55459,11 +56466,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55471,15 +56474,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_135_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_135_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55487,83 +56494,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55575,67 +56578,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_792 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_807 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55643,75 +56622,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55727,10 +56706,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_136_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55739,6 +56714,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_136_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_136_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55747,10 +56726,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_136_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55767,6 +56742,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_136_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55779,6 +56758,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_136_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55803,11 +56786,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_136_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55831,6 +56818,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_136_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_136_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55847,7 +56838,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55855,51 +56846,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_136_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55907,31 +56918,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55943,15 +56946,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55959,87 +56974,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_136_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56047,7 +57066,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_136_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56055,27 +57082,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56083,119 +57106,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_834 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_842 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_849 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56203,47 +57198,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_136_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56251,15 +57258,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_136_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56275,11 +57282,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56307,10 +57314,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_137_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56335,10 +57338,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_137_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56367,10 +57366,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_137_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56391,99 +57386,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56495,31 +57490,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56527,51 +57518,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56583,63 +57574,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_137_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_522 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_526 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_137_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56647,139 +57622,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_873 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56787,47 +57758,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_980 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56851,11 +57810,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56903,10 +57862,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_138_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56939,7 +57894,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56959,43 +57914,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_138_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57003,19 +57954,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57023,75 +57970,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_138_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_138_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57099,119 +58058,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_138_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_138_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57219,23 +58198,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_668 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_138_679 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_138_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57243,23 +58234,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_138_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57267,43 +58270,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_840 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57311,11 +58318,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57323,31 +58326,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57355,7 +58354,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_937 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57391,6 +58398,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57399,10 +58410,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57423,6 +58430,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57451,6 +58462,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57471,6 +58486,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57507,7 +58526,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57515,31 +58542,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57547,63 +58562,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57611,91 +58622,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_523 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_551 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57703,31 +58686,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57735,19 +58722,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57755,79 +58738,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_139_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57839,67 +58830,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_910 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_921 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_927 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57907,6 +58878,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58055,15 +59030,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58071,47 +59042,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58119,7 +59094,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58127,11 +59102,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58139,11 +59110,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58151,23 +59126,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58175,10 +59146,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58515,7 +59482,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58559,10 +59526,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_140_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58587,71 +59550,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_140_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58659,15 +59642,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_140_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58675,19 +59658,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_140_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_140_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58695,19 +59702,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58719,55 +59734,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_140_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58775,23 +59806,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58799,35 +59830,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58835,35 +59862,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58871,31 +59882,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_725 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_734 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58907,7 +59906,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58915,95 +59914,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59011,10 +60010,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_140_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59031,10 +60026,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_141_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59047,6 +60038,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_141_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_141_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59063,18 +60058,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_141_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_141_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_141_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_141_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59087,10 +60086,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_141_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59119,6 +60114,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_141_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59151,47 +60150,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59199,23 +60206,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59223,19 +60222,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59243,63 +60254,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_400 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_412 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59307,191 +60290,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59499,75 +60478,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_905 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_914 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_920 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_924 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59575,10 +60534,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_141_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59591,6 +60546,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_142_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59599,10 +60558,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_142_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59623,6 +60578,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_142_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59671,6 +60630,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_142_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59691,11 +60654,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59719,7 +60682,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59727,111 +60690,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_142_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59839,83 +60806,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59923,19 +60878,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59943,51 +60898,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59995,19 +60946,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_742 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_142_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60015,83 +60982,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_949 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_142_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_142_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60131,6 +61106,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_143_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_143_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60147,10 +61126,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60159,6 +61134,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_143_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60171,10 +61150,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60215,6 +61190,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_143_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60235,75 +61214,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60311,43 +61290,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60359,15 +61326,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60375,59 +61354,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60435,27 +61414,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60467,27 +61446,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_143_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_668 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_143_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60495,127 +61490,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_143_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60635,6 +61638,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_144_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60643,10 +61650,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_144_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60663,6 +61666,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_144_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60675,10 +61682,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_144_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60695,6 +61698,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_144_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_144_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60711,10 +61718,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_144_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60731,6 +61734,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_144_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60739,10 +61746,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_144_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60771,63 +61774,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_144_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60835,35 +61826,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_280 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60871,7 +61854,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60883,31 +61866,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60915,59 +61886,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60975,63 +61950,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61039,19 +62018,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61059,11 +62034,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61071,75 +62046,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_796 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61147,55 +62114,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61211,6 +62182,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_144_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61231,11 +62206,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61311,10 +62282,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_145_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61347,10 +62314,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_145_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61371,19 +62334,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61391,59 +62350,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61451,87 +62386,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61543,79 +62478,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_145_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_145_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61623,119 +62574,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_819 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_826 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61743,55 +62686,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61799,6 +62742,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_146_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61847,11 +62794,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61883,6 +62830,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_146_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61895,11 +62846,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61919,6 +62870,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_146_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61935,15 +62890,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_146_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61951,6 +62906,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_146_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61963,111 +62922,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62075,23 +63010,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62103,15 +63046,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62119,79 +63062,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_146_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62199,95 +63146,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_827 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62299,35 +63226,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_949 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_146_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62339,35 +63282,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_1057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62403,7 +63342,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62419,6 +63358,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_147_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62435,6 +63378,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_147_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62475,27 +63422,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_147_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_147_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62503,91 +63462,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62595,31 +63566,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62627,19 +63586,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62647,51 +63606,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_600 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62699,31 +63654,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_634 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_639 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62731,19 +63666,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_147_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62751,23 +63690,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62775,83 +63722,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_928 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_935 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_947 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62859,23 +63790,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_1008 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_148_1015 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_148_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62891,11 +63830,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62919,6 +63858,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_148_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_148_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62927,10 +63870,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_148_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62963,6 +63902,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_148_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62971,6 +63914,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_148_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -63003,99 +63950,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63103,15 +64018,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_148_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_148_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63119,23 +64042,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63143,67 +64078,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_148_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63211,91 +64158,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63303,6 +64230,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_148_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -63311,27 +64242,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63339,59 +64270,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63411,67 +64334,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_1113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63487,10 +64402,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_149_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -63511,6 +64422,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_149_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_149_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -63523,10 +64438,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_149_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -63547,27 +64458,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63579,51 +64478,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_25 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63631,27 +64518,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_149_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63659,79 +64550,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63739,39 +64630,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_548 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63779,31 +64654,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63811,43 +64678,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63855,111 +64714,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63967,27 +64826,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_985 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64139,15 +64994,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64155,15 +65014,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64171,15 +65042,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64187,11 +65054,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64199,11 +65070,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64211,31 +65082,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64543,47 +65414,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_150_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64595,10 +65470,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_150_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64619,6 +65490,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_150_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64671,19 +65546,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_150_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_150_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64691,39 +65582,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64731,51 +65614,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64783,19 +65658,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_150_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64803,27 +65686,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64831,23 +65702,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64855,131 +65726,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_150_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64995,31 +65854,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_150_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_844 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_855 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_150_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65027,115 +65894,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_1075 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_1079 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_1083 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_1094 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_1100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_151_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65143,10 +66034,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_151_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65187,6 +66074,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_151_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65207,43 +66098,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_151_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65251,19 +66146,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_151_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65275,147 +66182,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_151_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65423,27 +66346,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65451,55 +66366,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65507,19 +66418,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_814 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65527,23 +66434,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65551,23 +66458,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65575,55 +66482,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_1068 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_1079 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_152_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65631,11 +66546,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65647,6 +66558,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_152_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_152_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65667,10 +66582,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_152_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65687,11 +66598,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65719,67 +66630,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_152_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65787,39 +66706,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65827,87 +66746,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_492 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_496 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65915,47 +66818,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65967,19 +66870,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65991,19 +66898,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_152_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66011,31 +66926,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_801 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66043,19 +66962,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_849 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_152_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66067,67 +66990,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_967 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_976 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_152_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_994 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_998 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_153_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66135,27 +67090,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_1063 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_1065 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_153_1069 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66163,19 +67130,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66191,10 +67158,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_153_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66247,7 +67210,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66255,139 +67218,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_156 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_153_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_153_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_153_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_153_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_153_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_153_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66395,35 +67386,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_538 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66431,67 +67406,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66499,27 +67462,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66527,179 +67486,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_1014 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_1025 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_1032 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_1037 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_1044 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66707,15 +67646,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66723,31 +67662,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66787,6 +67726,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_154_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66807,31 +67750,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66839,103 +67778,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66943,79 +67894,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_602 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_154_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67023,35 +67994,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_698 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67059,27 +68022,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_779 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67091,11 +68046,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67103,27 +68054,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_848 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67131,35 +68078,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67167,43 +68114,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_1022 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67211,6 +68154,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_155_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_155_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67219,19 +68166,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_1104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_1110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_155_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67279,6 +68242,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_155_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67299,47 +68266,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67347,39 +68322,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_155_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67387,51 +68378,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_155_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_155_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67447,23 +68446,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67471,51 +68478,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67523,15 +68538,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67539,23 +68562,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67567,23 +68594,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67591,23 +68622,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_826 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67615,11 +68658,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_863 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_874 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67627,51 +68682,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_156_1002 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_1017 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67683,23 +68754,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67707,7 +68778,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_1103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67723,6 +68798,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_156_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67731,10 +68810,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_156_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67763,10 +68838,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_156_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67775,10 +68846,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_156_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67795,75 +68862,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67871,79 +68930,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_156_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_409 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67951,87 +68994,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_156_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_565 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68043,19 +69078,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68063,59 +69110,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_726 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_734 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68123,7 +69178,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_156_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_156_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68131,163 +69198,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_803 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_156_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_156_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_999 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_1003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_1007 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_1019 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_1030 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_1038 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_1042 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_1050 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68295,51 +69314,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_157_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_1171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68363,10 +69386,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_157_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68375,6 +69394,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_157_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_157_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68387,23 +69410,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_157_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68411,23 +69430,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_186 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68435,59 +69446,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68495,99 +69518,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68603,7 +69634,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_157_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68611,67 +69646,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68679,27 +69698,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_773 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68707,7 +69718,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_157_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68715,179 +69730,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_1111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_1134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_1142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_1153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_1165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_1177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_1189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68899,11 +69878,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68927,43 +69906,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68975,11 +69946,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_158_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_158_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68991,11 +69978,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69003,359 +69994,335 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_691 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69363,35 +70330,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_1063 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_159_1068 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69399,51 +70374,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_1152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_1164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69487,23 +70454,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_159_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69511,19 +70474,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69531,95 +70494,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_362 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69627,67 +70578,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_159_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_159_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69695,31 +70666,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_596 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69727,59 +70686,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_698 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_702 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_706 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69791,43 +70734,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69839,47 +70782,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69887,35 +70830,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_985 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70043,7 +70978,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70055,11 +70990,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70067,55 +70998,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70123,23 +71054,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70147,15 +71066,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70467,7 +71390,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70475,83 +71398,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_1108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_1116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_1122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_1130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_1138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70579,10 +71486,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_160_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -70619,7 +71522,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70627,27 +71534,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70655,35 +71550,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_228 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70691,43 +71570,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70735,15 +71606,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_160_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70759,107 +71638,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_160_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_160_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_160_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70867,55 +71774,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70923,10 +71822,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_160_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -70935,23 +71830,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_786 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70959,115 +71846,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_976 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_985 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_996 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1004 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71075,23 +71938,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71099,47 +71962,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_161_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71151,11 +72014,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71187,19 +72050,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71207,75 +72062,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_161_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_161_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71283,59 +72158,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_424 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71343,299 +72198,291 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_161_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_161_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71643,47 +72490,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_1106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_1185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_162_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71695,10 +72546,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_162_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71707,6 +72554,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_162_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71727,27 +72578,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_162_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_162_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71755,35 +72618,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71791,7 +72666,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_162_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71799,11 +72686,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71811,15 +72698,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71827,35 +72714,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71863,19 +72746,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71883,143 +72770,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72027,19 +72910,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72047,127 +72938,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_1046 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_1049 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_1060 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_1068 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72175,27 +73086,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72211,6 +73122,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_163_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72243,123 +73158,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72367,51 +73258,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72419,23 +73330,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72443,11 +73346,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_555 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72455,91 +73354,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_724 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_732 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_736 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_744 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_163_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_772 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72547,19 +73478,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_163_827 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72567,23 +73510,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72591,147 +73534,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1064 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_1152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_1159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_1171 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_1183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_1195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72751,7 +73674,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72771,15 +73694,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_164_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_164_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72787,135 +73714,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72923,115 +73854,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73039,63 +73962,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_781 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73103,6 +74022,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_164_803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_164_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73115,47 +74038,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73163,11 +74086,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73179,35 +74098,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_976 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_984 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_991 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73215,7 +74146,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73227,15 +74158,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_165_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_1065 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73243,27 +74174,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_1094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_1103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_165_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73271,27 +74206,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_1170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_1169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73311,10 +74250,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_165_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73339,63 +74274,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73403,127 +74322,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_165_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_165_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73531,35 +74474,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_165_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73567,35 +74514,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_165_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73607,59 +74566,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73671,59 +74634,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73731,79 +74702,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_166_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_166_1129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_166_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73811,23 +74790,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_1185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_166_1197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_166_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73855,6 +74842,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_166_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_166_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73867,59 +74858,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_166_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_166_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_166_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73927,239 +74930,231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_166_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74167,23 +75162,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74191,75 +75186,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74267,71 +75262,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74339,35 +75330,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74407,15 +75398,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_167_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_167_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74427,39 +75422,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_167_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74467,39 +75466,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_167_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74507,10 +75510,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_167_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74519,39 +75518,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_167_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_167_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_167_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74559,15 +75590,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_167_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74575,23 +75614,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74603,59 +75642,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74663,23 +75706,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_831 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74691,159 +75738,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74875,107 +75918,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_168_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74983,99 +75994,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_168_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75083,27 +76102,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_583 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75111,23 +76118,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_168_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_168_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75135,39 +76154,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_725 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75175,27 +76182,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75203,19 +76202,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_831 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75223,7 +76218,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75235,87 +76234,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_168_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75327,79 +76326,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_169_1075 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_169_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_1138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_1148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_1229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75419,11 +76418,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75431,15 +76434,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75447,83 +76446,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_31 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75531,39 +76514,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_169_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_169_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75571,15 +76550,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75587,10 +76570,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_169_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75599,43 +76578,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75643,7 +76622,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75651,187 +76630,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75871,7 +76850,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75907,6 +76886,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75931,10 +76914,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75963,19 +76942,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75983,63 +76954,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76047,7 +76998,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76055,35 +77010,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_338 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76387,95 +77338,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_1157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76503,11 +77442,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76527,51 +77466,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76579,11 +77506,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76591,75 +77518,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76667,35 +77590,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_170_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_170_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_170_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76703,123 +77646,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76831,23 +77774,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_849 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_852 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76855,47 +77806,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_912 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_927 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76903,75 +77838,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_1029 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1033 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1040 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1060 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_1065 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76979,55 +77890,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_1146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_1154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_1169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77051,10 +77942,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_171_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77075,47 +77962,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_185 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77123,127 +77994,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_22 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_171_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_171_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77251,15 +78122,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77267,119 +78134,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_171_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_171_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_171_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_754 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77387,147 +78246,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_171_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_171_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_1011 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_1028 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_1040 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77535,63 +78382,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_1070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_1195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_1162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_1173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_1193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_172_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77599,10 +78458,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_172_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77631,35 +78486,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77667,59 +78526,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77727,19 +78582,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77747,95 +78602,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_172_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_482 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77843,35 +78670,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_172_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_172_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_172_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77879,47 +78718,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77935,27 +78782,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77963,7 +78802,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77975,67 +78814,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_922 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_941 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78043,35 +78874,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78079,15 +78910,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1030 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78095,51 +78922,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_1098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_1127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_173_1144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_1152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78151,31 +78990,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_1188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_1192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_1211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_1223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78183,10 +79026,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_173_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78199,35 +79038,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78235,31 +79074,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_173_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_173_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78275,91 +79134,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_173_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78367,135 +79234,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78503,35 +79362,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_894 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_173_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_903 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78539,11 +79410,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_928 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78551,27 +79418,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_1007 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78579,79 +79454,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_1171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_174_1178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78675,6 +79554,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_174_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78691,35 +79574,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78727,39 +79594,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78767,99 +79626,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78867,63 +79718,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78931,47 +79782,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_658 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_665 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_674 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78979,35 +79814,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79015,7 +79850,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79023,43 +79862,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79067,35 +79894,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79103,35 +79918,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79139,63 +79954,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_1146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79207,39 +80018,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_175_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_1190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_1196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_1211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_1223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_1220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79259,7 +80058,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79267,47 +80070,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_254 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_175_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_175_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79315,19 +80134,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79339,79 +80154,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79423,15 +80222,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79439,15 +80242,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79455,23 +80254,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79479,7 +80278,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79487,71 +80294,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_742 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_75 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_753 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79559,35 +80350,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_175_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_175_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79595,39 +80386,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_873 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_886 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_892 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79635,119 +80410,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_1112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79755,47 +80526,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_1178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_1189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79803,11 +80566,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79831,10 +80594,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_176_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79847,27 +80606,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79875,115 +80630,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_176_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_176_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79991,7 +80762,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79999,75 +80774,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80075,19 +80838,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_677 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80095,31 +80862,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_747 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80127,23 +80886,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80151,10 +80910,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_176_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80163,19 +80918,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_832 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80187,11 +80938,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_869 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80199,115 +80946,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80315,27 +81058,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80343,19 +81086,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_1225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80375,39 +81122,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80415,39 +81162,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_177_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80455,47 +81206,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_177_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_177_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_177_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_177_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_177_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_177_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80503,51 +81274,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80555,7 +81322,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80563,35 +81330,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_177_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80603,63 +81362,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_177_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_177_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_177_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_177_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80671,39 +81430,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_923 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_177_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80711,11 +81474,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_177_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80723,27 +81490,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_1064 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_1035 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_178_1037 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_1056 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_1067 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_1071 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80751,27 +81542,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_1120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80779,59 +81570,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_178_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_1207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_1243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_1217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_1229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_1241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_1253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_1255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80847,31 +81622,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_178_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80883,39 +81662,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80923,23 +81682,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_178_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_178_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_178_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80947,11 +81726,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80959,31 +81742,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_399 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80999,63 +81762,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_178_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_178_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81063,11 +81834,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81075,55 +81854,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_178_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81131,11 +81922,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_178_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81143,11 +81946,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_178_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81155,39 +81962,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_850 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81195,63 +81998,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_946 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81259,83 +82050,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1052 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_1058 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1067 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1073 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1079 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1085 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1092 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_1105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_1094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81343,59 +82094,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_1168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_1188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_1206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_1218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81419,79 +82166,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81499,11 +82242,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81511,67 +82258,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_179_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_179_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81579,31 +82334,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_179_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81611,15 +82370,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_595 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81627,11 +82382,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_179_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_179_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81639,87 +82406,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_770 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81727,95 +82474,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_922 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81823,15 +82554,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_988 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81859,7 +82586,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81879,10 +82606,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81891,6 +82614,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81903,6 +82630,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81919,6 +82650,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_17_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81955,6 +82690,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81963,11 +82702,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81975,11 +82718,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81987,31 +82726,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82031,19 +82790,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82051,15 +82802,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82067,23 +82830,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82375,7 +83134,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82383,115 +83142,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_1122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_1195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_1203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_1221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_1233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_1245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82503,79 +83242,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82583,7 +83302,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_180_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82591,23 +83318,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_300 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82615,15 +83338,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82631,11 +83354,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82643,27 +83366,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82671,7 +83406,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_180_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82679,11 +83418,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_180_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_180_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_180_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82691,43 +83442,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82735,67 +83482,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_704 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_726 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_746 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82803,115 +83538,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_949 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82919,115 +83642,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_1112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83039,23 +83734,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_1183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_1218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83063,6 +83758,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_181_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83075,79 +83774,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83155,71 +83846,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_181_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_181_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_181_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83227,31 +83926,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83259,143 +83962,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_181_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_732 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_748 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_761 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83403,47 +84074,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83451,19 +84122,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_181_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_181_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_928 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83471,59 +84142,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_1068 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_182_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_1073 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83531,75 +84214,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_182_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_1159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1168 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_1177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_1183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83607,19 +84258,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_1207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_1223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_1243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_1255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83635,23 +84290,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_182_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83659,11 +84318,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83671,7 +84330,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83679,7 +84338,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83687,11 +84350,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_182_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_182_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83699,7 +84374,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83707,27 +84382,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_182_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83735,187 +84414,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_182_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83923,15 +84566,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_182_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83939,47 +84590,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_820 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83987,35 +84626,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_882 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84027,15 +84658,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_951 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_182_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84043,55 +84678,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1081 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_1094 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84099,63 +84742,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_1181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_1209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_1214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_1226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84175,15 +84814,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84191,71 +84830,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_297 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84271,55 +84894,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_183_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_183_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_183_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_183_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_183_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_183_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84327,19 +84978,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84351,27 +85006,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84379,71 +85034,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84451,15 +85106,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_792 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84467,219 +85118,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_184_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_1065 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1073 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_1079 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_1085 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1088 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_1093 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1097 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_1111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_1119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84687,43 +85274,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_1202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_1223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_1229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_184_1241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_184_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84739,43 +85334,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_166 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_174 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84787,19 +85362,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84807,67 +85370,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84879,23 +85442,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_184_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84903,27 +85466,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84931,63 +85490,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_184_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_184_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_547 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_554 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_560 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84995,7 +85530,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85003,31 +85542,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_620 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85035,35 +85562,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85071,19 +85598,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85091,111 +85614,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_184_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_893 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_900 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_913 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85203,67 +85698,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_1057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1060 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85271,11 +85758,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1092 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_185_1099 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85291,55 +85786,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_1210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_1222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85359,35 +85850,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85395,27 +85882,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85423,83 +85910,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85515,35 +85990,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85555,6 +86022,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_185_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85567,51 +86038,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_631 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85623,59 +86082,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_185_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_749 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_767 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_773 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85683,23 +86126,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_185_822 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_185_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85707,63 +86158,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_935 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_939 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85771,27 +86214,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_974 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_185_991 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_186_1000 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_186_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85799,10 +86254,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_186_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85815,111 +86266,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_1170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_1182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_1194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_1202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_1236 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_1248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85931,71 +86374,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_186_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86003,99 +86446,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_186_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86103,27 +86550,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86131,91 +86578,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_674 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86223,39 +86658,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_186_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86263,27 +86706,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_186_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_842 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86291,59 +86730,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_952 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_963 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86351,15 +86798,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_186_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86367,39 +86818,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_187_1065 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1075 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86407,75 +86850,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_1094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_1168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_1202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_1206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_1223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_1230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86483,6 +86918,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_187_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_187_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86491,23 +86930,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_187_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86515,63 +86942,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86579,11 +87006,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86591,147 +87014,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_187_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_601 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86739,15 +87150,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86755,15 +87162,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86771,43 +87178,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_187_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86815,15 +87226,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_789 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86831,87 +87238,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_901 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_905 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_916 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_922 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_926 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_929 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86919,19 +87294,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_187_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_187_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_187_982 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_187_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86939,123 +87322,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_1168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_1178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_1147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_1163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_188_1175 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_1188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_1208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_1220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87063,15 +87410,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_1232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_1237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_1244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_1249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_1256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87083,95 +87430,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_188_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_188_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87179,15 +87522,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87199,59 +87538,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87259,67 +87602,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_188_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87327,47 +87666,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_188_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_188_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87379,19 +87714,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_188_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87399,10 +87742,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_188_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87411,15 +87750,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_869 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87427,31 +87774,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_188_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_951 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_188_958 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_966 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87459,15 +87826,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_984 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87475,31 +87834,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_189_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_1047 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_189_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87507,59 +87870,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1098 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_1142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87567,31 +87922,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_1220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87611,19 +87962,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87631,59 +87982,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_189_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87691,115 +88058,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_189_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_189_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_534 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87807,83 +88158,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87899,39 +88226,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_189_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_761 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_768 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87939,47 +88254,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_810 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87987,83 +88286,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_960 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_967 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_977 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_988 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88103,6 +88378,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_18_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88135,10 +88414,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88167,10 +88442,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88187,6 +88458,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88195,15 +88470,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_18_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88211,15 +88490,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88227,27 +88514,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88255,7 +88562,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88263,11 +88578,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88275,19 +88594,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88591,15 +88918,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88607,7 +88930,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88615,11 +88938,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1081 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88627,55 +88954,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_190_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_1167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_1170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_190_1181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_1192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_1196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88683,19 +89026,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_1221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_190_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_1221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_190_1227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_190_1239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_190_1251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88707,7 +89066,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_190_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88715,43 +89082,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_190_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88759,31 +89130,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88791,55 +89154,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_190_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88847,43 +89190,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_407 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88891,27 +89210,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88919,19 +89226,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88939,119 +89246,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_190_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89059,35 +89382,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89099,71 +89422,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89171,63 +89490,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_191_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_1113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_1119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_1123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89235,183 +89538,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_1247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_1271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_1269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_191_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_191_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89419,23 +89734,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_191_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_191_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89443,67 +89762,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_191_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_191_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_191_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89511,91 +89838,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_191_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89603,63 +89938,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_191_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_929 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89667,23 +89998,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_986 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_191_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89691,47 +90026,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1074 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89739,83 +90070,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_192_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_1214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_1238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_1253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_1250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_192_1258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89831,15 +90166,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_192_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89847,39 +90186,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_192_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89887,79 +90234,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89967,111 +90322,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_192_627 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90079,47 +90422,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_192_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_192_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_192_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90127,15 +90482,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90143,27 +90494,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90171,27 +90518,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_840 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_192_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90199,23 +90538,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_903 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_192_909 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_913 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_192_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90227,27 +90578,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90255,55 +90606,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_193_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_1063 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1070 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90311,35 +90654,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_1130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_1134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_1141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_1147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90347,23 +90706,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_1226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90383,75 +90742,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90459,71 +90818,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90531,39 +90886,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90571,107 +90926,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_193_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_659 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_665 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_680 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90679,203 +91002,203 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_193_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_194_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90887,47 +91210,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_1223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_1249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_1247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90939,11 +91266,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90951,15 +91278,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_172 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90967,23 +91318,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90991,119 +91342,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_194_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_383 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_390 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91111,67 +91422,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_194_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91179,23 +91482,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_194_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91203,51 +91510,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_194_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91255,11 +91558,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91267,19 +91570,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91287,75 +91594,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91367,43 +91674,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91411,23 +91718,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_1009 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1014 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_195_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_1036 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_195_1051 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_195_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91435,39 +91738,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_1076 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_1082 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1090 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1096 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_1100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91475,43 +91754,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_1134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_195_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_1150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_1158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91519,35 +91774,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_1183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_1204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_1207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_1208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_1211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91571,27 +91822,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91599,35 +91838,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_194 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91635,31 +91858,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91667,23 +91886,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_195_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_31 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_195_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91691,135 +91922,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_195_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91827,27 +92058,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_195_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_195_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91855,107 +92098,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_827 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_834 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_845 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_848 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_857 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91963,23 +92182,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_195_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91987,19 +92198,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_930 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_195_936 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92007,11 +92226,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_958 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92019,75 +92242,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_1055 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1064 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1071 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_1075 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_1083 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92095,27 +92298,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92123,51 +92322,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_1166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_1174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_1182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_196_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_196_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_1234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_1246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_196_1258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_1239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_196_1251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_196_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92179,31 +92386,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_196_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92211,119 +92402,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92331,15 +92506,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_196_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_196_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92347,27 +92530,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_196_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92375,87 +92558,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_196_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_196_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92463,63 +92654,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_820 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_846 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92527,15 +92694,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_879 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_196_887 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_196_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92543,27 +92718,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_920 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92571,39 +92734,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92611,35 +92766,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_197_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1072 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92647,11 +92806,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_197_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_1090 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_197_1093 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_197_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92659,27 +92826,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92687,19 +92854,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_1180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_1191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_1197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92707,115 +92862,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_1218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_1269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_1247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_1271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_197_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_197_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92823,43 +93002,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_197_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92867,7 +93054,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92875,39 +93062,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_478 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92915,47 +93090,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_197_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_197_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_197_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_197_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_197_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_197_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92963,79 +93158,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_706 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_714 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_722 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93043,87 +93218,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_197_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_905 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_197_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_197_931 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93131,43 +93326,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_198_1031 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_198_1035 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_198_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93175,51 +93382,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_1103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_1107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_1135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93231,35 +93418,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_198_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_1188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93271,31 +93442,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_198_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_1223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_1239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_1235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_1251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93307,63 +93470,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_198_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_198_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_198_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93371,19 +93542,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93391,27 +93558,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_198_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93419,51 +93582,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_378 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_390 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93471,107 +93622,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_198_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_198_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93579,43 +93730,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_648 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_655 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_667 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93623,23 +93762,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_709 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_198_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_198_724 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_198_728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_198_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_198_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93647,27 +93806,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93675,7 +93830,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93683,55 +93838,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_198_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_899 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_910 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93739,27 +93886,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93767,107 +93914,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_1141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93875,35 +94006,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_199_1168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_199_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_1222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_199_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93923,59 +94058,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_199_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_199_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_199_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_199_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_199_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93983,107 +94138,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_199_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_199_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_199_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_199_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_199_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_199_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94091,59 +94262,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_199_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94155,63 +94318,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_742 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_199_754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_199_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94223,51 +94394,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_836 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_843 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94275,35 +94430,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94311,27 +94458,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_966 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_983 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94359,6 +94498,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_19_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_19_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94375,10 +94518,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94387,6 +94526,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_19_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94399,7 +94542,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94415,10 +94558,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_19_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94459,6 +94598,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94467,11 +94610,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94479,31 +94618,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94511,15 +94646,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94527,19 +94670,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94547,39 +94686,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_19_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94587,10 +94730,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94787,7 +94926,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94807,10 +94946,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94823,10 +94958,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_19_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94847,6 +94978,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94887,6 +95022,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94899,10 +95038,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94923,11 +95058,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95083,10 +95218,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_1_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95123,6 +95254,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95159,10 +95294,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95187,11 +95318,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95203,6 +95334,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95247,6 +95382,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95255,10 +95394,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95283,6 +95418,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95307,11 +95446,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95335,6 +95474,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95351,10 +95494,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95367,6 +95506,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_1_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95383,10 +95526,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95415,11 +95554,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95427,15 +95566,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_1043 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95443,51 +95586,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_1106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_1112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95495,51 +95622,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_1232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_1252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_1219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_1236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_200_1242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_200_1254 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95551,31 +95698,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95583,35 +95726,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95619,59 +95754,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_200_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95679,47 +95806,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95727,23 +95858,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95755,43 +95878,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95799,15 +95914,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95815,47 +95934,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_200_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_200_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95867,67 +95986,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_844 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_848 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_200_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_851 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_855 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95935,79 +96074,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_976 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96015,87 +96138,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_1121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96103,63 +96206,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_201_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_1202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_1220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_1247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_1269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_1271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_201_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_201_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96167,19 +96282,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96187,15 +96294,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_201_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96203,35 +96310,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_25 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96239,71 +96326,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_201_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96315,59 +96394,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_201_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_201_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_201_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_201_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_201_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_201_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96375,11 +96474,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96391,191 +96490,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_201_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_201_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_201_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_201_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_869 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_873 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96583,7 +96674,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96591,159 +96686,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_202_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_1197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_1196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96751,27 +96830,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_1209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_1215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_1239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_1251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_202_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96779,95 +96850,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_202_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96875,43 +96942,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96927,39 +96978,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_202_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_202_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_202_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_202_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_202_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96971,119 +97046,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_202_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97091,127 +97174,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_836 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_844 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_862 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_202_869 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_893 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_904 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97223,27 +97262,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_952 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_959 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_202_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97251,23 +97298,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97275,11 +97318,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1016 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97287,11 +97326,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1040 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_1047 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97299,7 +97346,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97307,10 +97354,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_203_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97319,51 +97362,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_1166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_203_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_1221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_1181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_1205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_1211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97383,19 +97438,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_203_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97403,31 +97470,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97435,83 +97498,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_203_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97519,27 +97594,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_470 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_474 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_480 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97547,35 +97610,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97587,63 +97646,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_203_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_203_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97651,19 +97694,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_661 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97671,15 +97710,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_203_702 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97687,43 +97738,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97731,79 +97782,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_203_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_920 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_926 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_934 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97815,35 +97854,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_203_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1018 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97851,79 +97874,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_1037 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_204_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_1176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_204_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97931,23 +97962,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_1232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_1244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_1222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_1234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_204_1246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_204_1258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97959,51 +97998,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_204_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_204_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_204_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98011,47 +98082,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98059,87 +98138,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98147,19 +98210,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_204_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98167,71 +98234,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98239,27 +98310,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_75 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_204_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98267,127 +98338,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1053 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_205_1059 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_205_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_205_1063 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_205_1065 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_205_1069 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_205_1080 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_205_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98395,35 +98494,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98431,31 +98530,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_1211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_1223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_1211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_1228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98467,10 +98558,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_205_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98479,7 +98566,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98487,87 +98574,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_205_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_205_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_205_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_205_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98575,47 +98666,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98623,103 +98702,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_205_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98727,15 +98810,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98743,79 +98826,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_714 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_724 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_744 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98823,27 +98874,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_79 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_8 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98851,51 +98882,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_205_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98903,47 +98926,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98951,67 +98974,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_1126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_1132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99019,59 +99022,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_206_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_1222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_1234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_1246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_206_1205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_1220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_1232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_1244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_1256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_206_1258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99083,23 +99062,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_206_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_206_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99107,27 +99086,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_206_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99135,35 +99106,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_206_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99171,95 +99142,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_206_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_206_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_206_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99267,11 +99234,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99279,35 +99246,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_206_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99315,27 +99286,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99347,71 +99314,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99419,39 +99386,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_206_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_206_854 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_857 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_864 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_873 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_206_877 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_883 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_206_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99459,51 +99454,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99511,27 +99498,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_1016 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_207_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99539,15 +99530,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99555,47 +99546,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99603,27 +99582,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99631,27 +99602,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_1210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_1222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_207_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_207_1230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99659,10 +99638,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_207_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99675,43 +99650,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99719,11 +99694,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99731,6 +99702,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_207_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_207_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99739,87 +99722,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99827,27 +99806,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_207_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99855,7 +99834,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99867,27 +99846,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_207_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_207_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99895,19 +99882,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_6 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99919,151 +99902,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_835 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_839 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_848 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_864 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_872 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100075,27 +100022,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_929 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100107,31 +100050,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100139,35 +100082,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_1056 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_1062 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100183,91 +100118,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_208_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_208_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_1181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_1243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_1255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_1241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_208_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100275,6 +100214,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_208_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100283,55 +100226,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100339,23 +100274,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100363,11 +100294,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100375,51 +100302,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_208_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100431,151 +100366,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_208_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_208_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100583,19 +100514,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_208_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100603,59 +100538,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_208_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_208_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_208_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_875 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100663,39 +100590,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_985 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_991 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_1007 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100703,11 +100654,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_1040 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_1043 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_1049 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100715,11 +100678,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_209_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100727,11 +100690,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1094 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100739,15 +100698,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100755,43 +100710,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_209_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_1188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_209_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_1202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_1222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_209_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_1225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100799,10 +100754,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_209_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100815,31 +100766,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_209_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100847,7 +100790,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_209_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100855,63 +100806,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_209_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100919,107 +100846,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_209_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_209_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101027,27 +100962,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_591 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101055,91 +100986,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_209_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_763 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_209_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101147,19 +101074,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_808 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_816 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101167,43 +101086,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_890 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101211,47 +101126,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101279,10 +101194,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101307,6 +101218,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101315,10 +101230,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_20_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101359,6 +101270,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_20_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101375,10 +101290,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101387,7 +101298,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101395,55 +101310,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101451,11 +101358,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_20_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101471,19 +101382,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101771,7 +101678,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101795,107 +101702,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_1012 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_210_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_1165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_1173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1176 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101903,31 +101790,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_1236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_1243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_1255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101939,75 +101814,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102015,211 +101890,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_210_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_210_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_716 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_210_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102227,27 +102106,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_210_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_210_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_210_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102255,11 +102146,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102267,103 +102154,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_928 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_946 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_949 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_960 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102375,31 +102234,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_998 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_1001 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_1007 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102407,115 +102254,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_211_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_211_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_1170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_1185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102531,27 +102374,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_211_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102563,35 +102410,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_211_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_211_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102599,119 +102450,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_211_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_211_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_211_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102719,23 +102574,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_211_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102747,67 +102606,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_211_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_211_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_211_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_211_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_211_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102815,75 +102674,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_211_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102891,91 +102750,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1037 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_1041 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_1052 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_1060 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_1064 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102983,87 +102862,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_1085 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_212_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_212_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_1253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_1236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103075,23 +102958,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_212_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103099,31 +102994,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_212_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103131,75 +103026,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_212_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103207,35 +103114,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_212_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103243,39 +103138,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_212_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103283,11 +103174,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_548 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103295,15 +103182,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_212_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103311,115 +103206,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_212_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_212_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_212_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_212_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103427,47 +103322,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_901 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_907 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103475,31 +103378,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_212_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_959 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_967 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_976 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_1003 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103507,35 +103430,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_1013 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_213_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1039 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_1043 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103543,75 +103462,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_213_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_213_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_1188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_1185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_1196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_213_1203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_1211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103631,67 +103562,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_213_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_213_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_213_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103699,15 +103618,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103715,7 +103634,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103727,19 +103646,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_213_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103747,67 +103662,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_213_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_470 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_476 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103819,11 +103714,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103831,7 +103722,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_213_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103843,19 +103738,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_213_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103867,7 +103766,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103875,43 +103778,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_677 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_213_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103919,31 +103842,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_213_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_763 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_213_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103951,15 +103878,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_213_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103967,47 +103894,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_884 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104015,35 +103934,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_213_897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_213_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_941 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104051,31 +103958,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1000 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_1008 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104083,79 +104002,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_214_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_1091 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_214_1093 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1098 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_1111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_1117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104163,67 +104050,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_1166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_1174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_1181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_214_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_1232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_1238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_1239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_1250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_1251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_214_1258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104235,55 +104114,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_214_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_214_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104291,55 +104194,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_214_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_214_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_327 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104347,27 +104230,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_386 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104375,35 +104242,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_424 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104415,103 +104266,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_689 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104519,39 +104358,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104559,19 +104402,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104579,11 +104422,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_214_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104591,47 +104438,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_913 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104639,23 +104490,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_934 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104663,7 +104506,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104671,19 +104514,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_214_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1003 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104691,15 +104538,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_1028 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104719,23 +104562,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_1082 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_1102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104743,23 +104574,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104767,19 +104602,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_1222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104787,11 +104618,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_215_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_215_1247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104799,59 +104630,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_215_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_1269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_1271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_192 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104859,23 +104670,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_215_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104883,127 +104698,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_215_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_215_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105015,15 +104834,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105031,47 +104850,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_215_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105079,51 +104898,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_215_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_215_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_215_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_215_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_691 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_702 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_709 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105135,15 +104970,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105151,27 +105006,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105179,19 +105038,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_870 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_886 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105199,19 +105070,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_215_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_930 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105219,31 +105094,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_215_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_215_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105255,55 +105138,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_216_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_1070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105311,55 +105190,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_1170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_1176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_1195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_216_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_1223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_1253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_216_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105371,23 +105250,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_216_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105395,35 +105278,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105431,19 +105314,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_216_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105455,75 +105326,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_216_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_216_458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105531,19 +105382,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105551,43 +105410,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_216_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105595,87 +105458,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_216_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_216_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105683,23 +105542,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_851 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105707,27 +105562,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_216_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105735,11 +105586,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_216_932 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_938 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_216_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105747,11 +105610,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105759,11 +105618,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_987 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_217_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105771,35 +105638,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105807,19 +105674,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1080 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_217_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105827,43 +105682,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_217_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_1168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_1184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_1208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105871,55 +105718,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_1247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_1269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_1271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105927,75 +105770,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_312 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106007,19 +105826,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106031,71 +105842,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_482 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_217_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106103,39 +105894,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_217_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106143,51 +105962,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_217_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_217_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_694 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106195,103 +106002,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_217_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_217_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_864 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_872 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_885 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106299,35 +106082,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_217_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106335,107 +106106,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_1064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_218_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_218_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106443,51 +106202,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_1198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_1222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_218_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_218_1240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_1230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_1242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_1254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_1252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106499,75 +106242,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_218_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_218_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_218_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_218_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_218_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106575,43 +106322,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106619,55 +106366,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106679,99 +106410,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_218_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_218_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_218_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_218_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_718 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_218_730 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106779,87 +106490,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_218_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_218_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_908 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_218_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106867,11 +106582,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_927 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106879,23 +106590,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106903,15 +106614,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_984 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106919,23 +106622,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_219_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_1013 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_1017 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_1020 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106943,19 +106634,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_1065 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106963,15 +106658,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_1091 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106983,15 +106674,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_1136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106999,39 +106694,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_219_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_1183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_219_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_1210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_1220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107047,19 +106738,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_219_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107067,27 +106766,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107095,19 +106798,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107119,31 +106826,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_219_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107151,31 +106854,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_358 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107183,31 +106878,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_219_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_219_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_219_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107215,51 +106934,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107267,23 +106974,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_219_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107291,31 +106994,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_219_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_644 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107323,67 +107014,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_219_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_219_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_219_801 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107391,75 +107090,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_219_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_219_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_219_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_963 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_967 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_219_982 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_219_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107479,10 +107202,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107491,6 +107210,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_21_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_21_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107587,7 +107310,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107599,11 +107322,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107611,11 +107334,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107623,59 +107346,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107683,7 +107398,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107691,11 +107406,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107703,55 +107422,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107783,10 +107494,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107811,6 +107518,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107819,11 +107530,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107895,7 +107606,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107931,10 +107642,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_21_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107955,6 +107662,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108003,6 +107714,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108023,10 +107738,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108035,11 +107746,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_1006 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_1010 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108051,19 +107770,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_220_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1079 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_220_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108071,55 +107794,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_1188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_220_1196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108127,23 +107854,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_1240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_1234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_1246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_220_1258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_1252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108155,79 +107878,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_220_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_220_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108235,19 +107962,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_220_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108255,15 +107990,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108271,71 +108006,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_220_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108343,19 +108074,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108363,51 +108106,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_220_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108419,43 +108170,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_807 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108463,79 +108230,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_220_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_220_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_220_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_220_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108543,15 +108290,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108559,15 +108302,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_220_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108575,75 +108314,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1070 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_1076 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_1084 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1092 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1098 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_1102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_1106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108651,63 +108362,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_1181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_1210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_1221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_221_1218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_221_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_221_1230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108715,10 +108426,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_221_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108731,71 +108438,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_221_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_221_20 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108807,23 +108482,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_221_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108831,39 +108502,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108871,35 +108546,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_5 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108907,15 +108570,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_526 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108927,55 +108586,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_221_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_221_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108987,123 +108638,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_221_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_221_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_867 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_891 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109111,31 +108742,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_915 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_921 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_924 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109147,51 +108758,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_984 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109199,11 +108794,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109211,91 +108806,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_1195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109303,23 +108898,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_1220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_1230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_1242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_1239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_1251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_1254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109327,47 +108918,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_222_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_222_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_185 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109375,23 +108958,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_222_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109399,139 +108990,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_222_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_222_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_222_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_222_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_222_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109539,27 +109142,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_222_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109567,131 +109190,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_222_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_222_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_222_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_222_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_222_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_832 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_844 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109699,35 +109346,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_222_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_222_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_222_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109735,15 +109378,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_946 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109751,11 +109402,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_992 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109763,71 +109418,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_223_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_1135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109843,15 +109494,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_1188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109879,11 +109534,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_223_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109891,47 +109566,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_223_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_223_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109939,63 +109626,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_223_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110003,23 +109690,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_223_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_223_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110027,15 +109722,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110043,7 +109734,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_223_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110051,7 +109754,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_223_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110063,7 +109766,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110071,47 +109774,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_223_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_599 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110119,111 +109814,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_223_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_223_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_223_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_223_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_223_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_223_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_223_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_223_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_223_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110231,11 +109934,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110243,35 +109946,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_223_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110279,79 +109974,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_1024 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_1030 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_224_1037 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_1042 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_1066 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110359,59 +110034,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_224_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_1182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_1153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_1172 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_1185 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_1191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_1195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110419,23 +110078,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_1249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_224_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110443,51 +110102,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_224_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_224_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_170 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_176 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110499,39 +110142,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110539,63 +110166,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_224_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_224_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110603,215 +110238,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_224_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_224_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_224_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_224_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_224_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_694 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_750 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_773 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_808 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_224_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110819,79 +110394,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_224_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_936 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_947 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_224_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_969 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_987 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_998 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110899,135 +110514,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_1181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_1170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_225_1177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_1186 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_1203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_1209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_1218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111055,47 +110646,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_148 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_171 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_225_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111103,27 +110662,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_225_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111131,59 +110682,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_225_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_314 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111191,131 +110718,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_225_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_225_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_602 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111323,91 +110910,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_225_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_803 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_810 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111415,19 +110986,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_848 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_852 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_856 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111435,47 +111022,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_225_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_225_924 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111483,47 +111062,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1011 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111531,63 +111106,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_226_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_226_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_1129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111599,43 +111170,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_226_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_1192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_226_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_1236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_1240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_1252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111647,31 +111218,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_17 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111679,19 +111246,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111699,15 +111262,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_226_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111723,43 +111298,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111767,59 +111354,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_226_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111827,31 +111438,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111859,23 +111470,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111887,27 +111494,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_226_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111915,23 +111514,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111939,67 +111546,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_226_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_226_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_226_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_226_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112007,19 +111610,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_985 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_989 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112027,63 +111618,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_227_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_227_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1073 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1080 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_1086 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_1097 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112091,7 +111666,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112103,111 +111678,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_1236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_1209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_1248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_227_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_1260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_1272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_1276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_227_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_227_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112215,51 +111814,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_227_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112267,75 +111846,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_227_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112343,159 +111930,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_227_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_227_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_742 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112503,11 +112070,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_227_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_227_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_227_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112515,23 +112090,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_819 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112539,23 +112102,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_870 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_227_877 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_883 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_886 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112563,23 +112142,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_227_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_930 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112587,11 +112170,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112599,39 +112182,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_1029 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1032 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112639,51 +112214,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_1117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112695,35 +112250,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_1186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_228_1202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_228_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_228_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112743,7 +112298,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112751,107 +112306,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_228_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112859,43 +112406,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_228_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112907,7 +112462,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112915,55 +112470,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_637 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112971,107 +112522,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_228_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_79 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_808 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_820 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113079,19 +112606,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_850 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113099,67 +112622,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_228_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_228_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113167,39 +112686,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1020 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1027 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1034 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_1041 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_1047 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113207,15 +112706,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1069 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1075 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113223,7 +112714,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_1085 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_229_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113231,67 +112726,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_1188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_1202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_1216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_1222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_229_1230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113311,11 +112794,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113323,31 +112806,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_194 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113355,27 +112830,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_229_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113383,83 +112866,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113467,43 +112918,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_229_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_229_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113511,63 +112970,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_229_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_229_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_653 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113575,23 +113026,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_705 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_229_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113599,47 +113054,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_229_827 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_229_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113647,15 +113114,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113667,7 +113130,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113675,51 +113138,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_960 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_966 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113751,15 +113190,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_22_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113787,10 +113230,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_22_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -113807,10 +113246,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_22_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -113819,6 +113254,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_22_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -113843,10 +113282,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_22_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -113863,11 +113298,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113875,23 +113314,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_22_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113899,11 +113374,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113911,11 +113386,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113923,7 +113402,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113931,31 +113414,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_22_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114187,7 +113678,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114235,11 +113726,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114259,83 +113750,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_230_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_1112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114343,15 +113814,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_1169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114359,15 +113826,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_1185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_1195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114375,23 +113842,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_1218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_1239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_1230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_1251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_1242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_230_1254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114411,27 +113882,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_230_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_230_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114439,23 +113914,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_230_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114471,7 +113938,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114479,27 +113946,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_230_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_230_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_230_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114507,27 +113982,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114535,15 +114006,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114551,27 +114018,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_230_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_230_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_230_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_230_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114579,31 +114062,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_230_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114611,95 +114094,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_230_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_230_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_230_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_230_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114707,79 +114206,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_230_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114787,27 +114278,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1035 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_231_1039 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_231_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_231_1042 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_231_1046 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_231_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114815,31 +114326,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_231_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114847,19 +114358,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_231_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_1138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_231_1155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_231_1166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114867,23 +114382,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_1195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_1210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_231_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114895,6 +114414,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_231_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_231_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -114907,495 +114430,475 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_231_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_231_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_231_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_231_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_231_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_231_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_231_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_231_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_231_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_231_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_231_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_232_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_232_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_232_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115403,43 +114906,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_1176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_1184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_1196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_1208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_1214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_1226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_1238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_1250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_1253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_232_1258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115451,31 +114950,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_232_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115483,11 +114986,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115495,15 +114994,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115511,7 +115006,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115519,7 +115018,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115527,11 +115030,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115543,91 +115046,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_232_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_232_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115639,59 +115114,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_232_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115703,71 +115182,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_232_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_232_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115775,31 +115266,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_883 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_903 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_914 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115807,31 +115314,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_232_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_232_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_983 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115839,95 +115354,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_233_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_1124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_1145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115935,31 +115426,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_1181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_1192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_1211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_1223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_1220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115979,11 +115458,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115991,19 +115466,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116011,159 +115478,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_233_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_233_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116171,47 +115638,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_233_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_233_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_233_602 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_233_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116235,151 +115710,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_233_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_233_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_233_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_233_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_941 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_947 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116387,27 +115842,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116415,47 +115870,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_1120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116463,47 +115914,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_234_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_1190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_1215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116523,10 +115970,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_234_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -116535,7 +115978,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116547,27 +115990,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_234_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_234_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116575,15 +116026,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_234_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_234_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_234_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_234_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116595,179 +116058,203 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_234_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_234_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_234_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_234_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_234_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_234_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_234_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_234_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_234_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_234_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116775,63 +116262,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_234_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_234_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116839,127 +116330,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_234_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_234_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_235_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1028 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116967,75 +116454,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_235_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_235_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_235_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_1182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_1206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_1204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_1221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_235_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117055,23 +116546,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117079,79 +116570,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_235_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_235_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_235_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_235_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117159,55 +116654,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117215,87 +116702,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_235_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_599 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117335,7 +116810,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_235_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117343,91 +116822,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_235_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_901 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_235_912 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_235_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_235_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_235_931 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_235_938 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_235_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_235_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117435,103 +116942,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_235_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_236_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_236_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_236_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_1128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117543,11 +117042,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_1174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_1181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_236_1187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117555,27 +117066,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_1207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_1241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_1253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_236_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117583,15 +117086,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_236_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117599,7 +117102,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117607,19 +117118,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_236_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_236_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117627,7 +117150,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117635,47 +117158,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_236_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_236_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_236_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_236_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117683,59 +117214,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_431 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_456 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117743,63 +117266,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_236_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_236_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_236_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_236_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_236_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_236_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_236_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117827,39 +117378,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_236_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_236_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_236_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_236_772 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_236_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_236_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117867,27 +117434,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117895,79 +117462,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_236_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_236_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_237_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_237_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117975,23 +117542,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_237_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_1085 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_237_1099 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_237_1107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_237_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117999,31 +117578,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118031,11 +117602,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_1191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_237_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118043,19 +117618,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_1226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_237_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118075,103 +117654,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_237_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_237_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118179,31 +117750,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_237_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118211,23 +117786,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118235,43 +117806,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118279,39 +117846,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_237_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_237_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_237_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_237_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_237_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_237_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118323,6 +117906,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_237_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_237_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -118351,7 +117938,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118359,19 +117946,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118379,31 +117962,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_237_775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118411,15 +117986,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_237_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_237_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118427,15 +118010,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_237_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_857 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_237_871 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_237_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118443,27 +118034,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118475,35 +118066,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_237_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_1000 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_1008 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118515,51 +118098,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_238_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_1127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_1137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118567,27 +118142,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_238_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_1168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_1183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118599,27 +118174,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_1207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_1243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_1253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_1255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118631,35 +118206,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_238_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118667,35 +118238,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118703,31 +118270,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_238_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_238_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118735,55 +118298,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_238_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118791,27 +118354,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_238_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_238_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118819,79 +118378,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_238_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_238_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_238_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_238_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118903,7 +118450,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118927,23 +118474,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_238_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118951,27 +118494,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_797 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118979,59 +118518,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_238_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_938 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_238_950 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_238_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119039,39 +118586,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1031 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_1035 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1043 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119079,35 +118614,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_239_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_239_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_239_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_1107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_239_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119115,35 +118650,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_239_1177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119151,19 +118682,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_1207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_1211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_1223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119187,75 +118714,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_239_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119263,35 +118794,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_239_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_239_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119299,67 +118838,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_239_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_239_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_239_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119367,43 +118894,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_239_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119415,10 +118942,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_239_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119431,6 +118954,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_239_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_239_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119447,10 +118974,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_239_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119463,23 +118986,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_239_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119491,23 +119014,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_844 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119515,11 +119034,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119527,43 +119046,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_239_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_239_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119591,6 +119110,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_23_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_23_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119607,10 +119130,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_23_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119619,6 +119138,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_23_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119691,11 +119214,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119703,31 +119234,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119735,19 +119258,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119755,15 +119282,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119771,35 +119294,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119807,10 +119326,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_23_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119895,7 +119410,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119931,10 +119446,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_23_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119951,11 +119462,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120011,6 +119522,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_23_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_23_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -120039,10 +119554,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_23_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -120063,6 +119574,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_23_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_23_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -120091,71 +119606,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_240_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_240_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_240_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120163,35 +119674,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_1178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_1184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_1183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_1198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_240_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120223,23 +119738,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_240_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120247,15 +119754,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120263,27 +119770,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_240_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120299,11 +119806,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_240_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120311,39 +119822,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120351,27 +119858,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120379,63 +119886,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_240_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_240_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_240_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_240_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_240_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_240_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120443,39 +119970,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_240_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_240_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120487,10 +120018,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_240_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -120507,10 +120034,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_240_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -120527,6 +120050,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_240_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_240_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -120535,47 +120062,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_240_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_797 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120583,119 +120090,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_240_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_241_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_1067 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120703,63 +120206,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_241_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_241_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_1220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_1197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_241_1205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_241_1217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_241_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120767,6 +120282,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_241_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_241_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -120779,19 +120298,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120799,27 +120310,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_241_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120827,23 +120338,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_241_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_241_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120851,27 +120370,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_241_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120883,127 +120394,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_241_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_241_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_241_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121019,6 +120530,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_241_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_241_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121063,75 +120578,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_873 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_241_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_241_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121139,27 +120662,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_926 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121167,87 +120678,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_242_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_242_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_1098 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_242_1127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_242_1135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_242_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121259,43 +120782,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_1170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_242_1178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_1166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_1181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_1253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_1224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_242_1236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_242_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121307,23 +120838,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121339,39 +120870,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_242_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121379,7 +120894,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_242_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121387,83 +120902,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_242_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_242_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_242_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121475,79 +120970,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_242_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_242_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_242_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_637 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_242_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121567,10 +121054,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_242_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121583,6 +121066,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_242_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_242_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121603,31 +121090,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_242_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_242_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_242_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121635,39 +121130,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_242_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121675,39 +121170,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_242_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_242_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121715,27 +121198,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_243_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1022 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121743,63 +121230,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_1072 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_1078 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_243_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_1098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_1154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_1166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_243_1174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_1180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_1204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_1228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_1187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_1207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_1227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_243_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121811,6 +121318,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_243_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_243_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121819,47 +121330,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_243_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121867,55 +121378,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_243_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121923,31 +121446,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_243_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121955,83 +121474,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_243_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_243_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122039,10 +121546,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_243_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -122055,6 +121558,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_243_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -122087,35 +121594,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_243_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122131,175 +121650,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_243_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_243_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_244_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_244_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_1122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_1161 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_1173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_1185 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122335,35 +121838,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_244_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_244_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_244_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122371,47 +121882,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_244_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122419,43 +121926,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_244_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_244_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122463,131 +121974,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_244_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_244_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_557 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_244_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122595,11 +122094,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122667,19 +122166,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_244_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_763 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122687,15 +122178,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_244_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122703,47 +122194,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_244_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_244_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_874 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_244_894 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_244_900 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_244_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122755,19 +122258,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_244_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_244_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_957 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_244_969 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_244_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122775,47 +122286,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122823,67 +122334,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_245_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_245_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_1134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_245_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_245_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_1203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_245_1215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_245_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122907,87 +122426,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_245_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122995,11 +122510,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_245_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_245_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123007,43 +122530,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_245_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123051,31 +122578,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_245_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_245_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_245_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_245_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_245_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123083,15 +122626,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123099,27 +122642,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_245_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123127,11 +122674,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123163,10 +122710,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_245_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123183,71 +122726,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_245_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123255,47 +122794,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_245_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123303,23 +122842,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_246_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123327,51 +122870,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_246_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_1127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123379,23 +122914,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_1185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_1182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_1194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_246_1202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123407,10 +122942,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_246_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123419,6 +122950,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_246_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123431,59 +122966,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_246_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_246_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123491,115 +123038,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_246_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_246_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_246_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_246_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_246_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123607,23 +123154,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_246_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123655,6 +123210,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_246_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123667,10 +123226,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_246_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123707,10 +123262,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_246_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123731,27 +123282,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_246_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123759,23 +123306,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123783,91 +123322,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_246_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_246_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_246_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_246_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_247_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_247_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_247_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_247_1053 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_247_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123879,10 +123418,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_247_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123899,19 +123434,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_247_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_1145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_247_1157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_247_1169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_247_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123927,6 +123474,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_247_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_247_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123955,19 +123506,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123979,19 +123530,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124003,7 +123554,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_247_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_247_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124011,15 +123570,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_247_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124027,23 +123590,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124055,7 +123606,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_247_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124067,15 +123622,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_247_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_247_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124083,7 +123634,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124091,19 +123642,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124111,11 +123658,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_247_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124203,55 +123746,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_247_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_247_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_247_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_247_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_247_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_885 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_247_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124259,31 +123806,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_926 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_247_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_247_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124291,19 +123826,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124311,31 +123846,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_248_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_248_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_248_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_248_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_248_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_1051 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_248_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124347,6 +123886,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_248_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_248_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124359,10 +123902,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_248_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124439,11 +123978,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_1273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_248_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124463,55 +124006,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_248_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_248_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_248_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_248_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_248_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124527,6 +124066,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_248_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_248_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124535,15 +124078,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_248_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124551,35 +124098,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_248_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_248_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_248_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_248_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_248_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124587,11 +124142,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_248_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124599,35 +124154,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_248_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_248_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_248_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124643,31 +124194,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_248_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_248_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_248_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_248_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124687,10 +124238,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_248_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124703,6 +124250,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_248_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_248_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124727,10 +124278,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_248_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124771,35 +124318,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_248_75 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_248_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_248_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_248_774 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_779 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_248_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124811,6 +124342,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_248_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_248_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124819,63 +124354,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_248_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_248_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_248_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_248_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_248_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_248_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_248_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_248_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_248_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_248_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124891,10 +124418,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_248_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124943,10 +124466,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124955,6 +124474,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_24_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_24_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124991,6 +124514,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_24_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125011,10 +124538,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125043,19 +124566,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125063,55 +124578,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125119,11 +124630,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125131,7 +124638,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125139,11 +124646,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125151,15 +124662,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_24_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125391,7 +124910,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125411,10 +124930,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125427,10 +124942,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_24_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125451,6 +124962,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_24_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125491,6 +125006,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125507,10 +125026,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125519,6 +125034,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125531,7 +125050,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125567,10 +125086,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125595,23 +125110,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_25_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125619,47 +125130,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125667,19 +125190,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125687,35 +125210,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_25_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125723,10 +125254,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125867,6 +125394,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125875,10 +125406,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125923,6 +125450,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125967,11 +125498,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125995,10 +125526,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126043,6 +125570,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_26_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_26_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126063,10 +125594,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_26_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126131,15 +125658,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126147,43 +125678,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126191,7 +125722,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126199,7 +125734,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126207,19 +125746,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126455,7 +125994,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126499,10 +126038,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126511,6 +126046,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_26_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126539,10 +126078,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126555,6 +126090,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_27_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_27_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126575,11 +126114,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126595,7 +126134,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126615,10 +126154,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_27_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126631,10 +126166,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126659,6 +126190,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_27_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126667,15 +126202,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126683,71 +126210,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_234 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126755,15 +126258,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126771,11 +126278,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_27_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126783,23 +126302,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126807,10 +126322,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126895,7 +126406,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126927,10 +126438,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126955,6 +126462,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127007,11 +126518,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127035,10 +126546,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127063,6 +126570,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127071,10 +126582,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_27_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127107,10 +126614,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_28_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127135,6 +126638,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_28_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127147,10 +126654,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127195,6 +126698,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127223,15 +126730,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127239,47 +126746,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_28_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127287,11 +126802,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127299,31 +126814,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_28_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127399,7 +126918,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127423,10 +126942,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_58 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_28_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127463,6 +126978,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127483,10 +127002,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127519,6 +127034,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_28_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127539,11 +127058,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127607,7 +127126,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127695,6 +127214,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127707,10 +127230,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127735,10 +127254,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127755,6 +127270,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127763,15 +127282,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127779,7 +127294,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_29_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_29_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127787,15 +127310,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_29_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127803,19 +127342,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127823,19 +127366,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127843,47 +127390,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127979,7 +127510,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128035,6 +127566,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128055,10 +127590,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128091,6 +127622,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128139,6 +127674,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128167,10 +127706,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128315,6 +127850,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128375,10 +127914,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_2_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128399,10 +127934,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128455,10 +127986,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128479,6 +128006,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128511,10 +128042,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_58 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_2_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128527,6 +128054,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128559,6 +128090,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128571,10 +128106,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128595,6 +128126,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_2_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_2_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128607,10 +128142,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128635,6 +128166,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128655,7 +128190,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128667,10 +128202,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128687,10 +128218,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128759,6 +128286,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_30_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128791,10 +128322,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128819,6 +128346,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128843,15 +128374,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_30_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128859,15 +128386,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128875,35 +128410,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128911,15 +128438,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128927,6 +128446,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128939,19 +128462,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_30_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_30_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129027,7 +128558,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129051,10 +128582,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_58 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129091,6 +128618,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129111,10 +128642,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129147,6 +128674,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129155,10 +128686,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129175,6 +128702,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129183,7 +128714,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129223,10 +128754,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129235,11 +128762,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129283,6 +128810,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_31_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129299,10 +128830,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129311,6 +128838,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_31_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129323,6 +128854,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129351,10 +128886,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129379,6 +128910,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129387,7 +128922,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129399,43 +128934,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129443,15 +128978,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129459,15 +129002,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129475,7 +129030,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129483,23 +129042,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129507,10 +129062,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129595,7 +129146,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129651,6 +129202,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129671,10 +129226,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129707,6 +129258,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129727,10 +129282,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129759,11 +129310,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129823,11 +129374,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129863,6 +129414,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129871,6 +129426,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129895,6 +129454,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129907,10 +129470,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129919,15 +129478,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129935,67 +129498,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_228 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_234 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130003,7 +129538,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130011,14 +129554,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130027,27 +129562,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_326 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130187,7 +129714,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130243,6 +129770,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130251,10 +129782,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_32_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130271,6 +129798,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130279,7 +129810,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130295,10 +129826,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130335,6 +129862,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_32_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130351,10 +129882,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130379,6 +129906,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130395,10 +129926,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130407,6 +129934,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130419,6 +129950,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130435,6 +129970,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_33_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130471,6 +130010,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130479,11 +130022,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130491,23 +130038,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_156 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130515,11 +130046,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_33_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130535,7 +130078,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_33_254 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130543,59 +130098,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_33_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_33_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130603,10 +130162,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130747,7 +130302,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130799,11 +130354,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130827,10 +130382,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130843,10 +130394,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_33_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130863,6 +130410,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130915,10 +130466,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130931,6 +130478,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130979,10 +130530,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131019,7 +130566,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131027,7 +130578,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131035,67 +130586,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131103,11 +130630,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131115,7 +130638,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131123,27 +130646,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131163,7 +130690,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131207,10 +130734,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131223,6 +130746,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131231,10 +130758,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_54 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131283,6 +130806,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131319,10 +130846,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_34_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131339,6 +130862,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131359,11 +130886,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131375,6 +130902,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_34_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131423,6 +130954,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_34_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131451,10 +130986,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131467,6 +130998,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131483,10 +131018,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131495,6 +131026,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131507,7 +131042,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131527,10 +131062,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_35_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131567,6 +131098,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_35_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131575,7 +131110,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131583,63 +131118,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131647,15 +131174,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131663,19 +131202,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131683,15 +131214,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_35_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131843,7 +131382,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131883,10 +131422,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_35_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131903,6 +131438,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131943,10 +131482,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131955,6 +131490,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131991,10 +131530,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132023,6 +131558,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_36_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132031,10 +131570,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132059,10 +131594,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132087,6 +131618,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132115,15 +131650,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132131,11 +131666,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_36_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132143,15 +131690,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_36_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132159,11 +131714,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132171,7 +131726,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132179,15 +131734,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132195,10 +131750,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_36_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132211,27 +131762,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132251,7 +131794,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132299,10 +131842,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132311,6 +131850,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_36_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132367,6 +131910,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132391,10 +131938,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132423,6 +131966,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_36_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132459,6 +132006,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132487,10 +132038,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_36_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132511,6 +132058,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132551,6 +132102,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_37_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132567,10 +132122,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132579,6 +132130,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_37_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132591,6 +132146,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132619,10 +132178,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132647,6 +132202,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132655,11 +132214,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132667,51 +132226,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132719,19 +132290,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132739,7 +132306,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132751,23 +132330,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132919,7 +132494,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132971,11 +132546,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133007,10 +132582,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_37_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133031,6 +132602,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133063,10 +132638,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133087,10 +132658,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133103,6 +132670,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_38_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_38_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133163,10 +132734,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133191,19 +132758,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133211,55 +132774,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133267,7 +132818,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133275,6 +132826,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133287,11 +132842,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133367,7 +132938,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133391,10 +132962,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133407,10 +132974,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133435,6 +132998,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133487,6 +133054,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_38_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133495,10 +133066,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133515,6 +133082,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133523,6 +133094,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133571,6 +133146,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133603,10 +133182,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133615,11 +133190,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133643,6 +133218,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_39_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133655,6 +133234,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133663,10 +133246,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133687,10 +133266,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133703,6 +133278,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_39_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133711,10 +133290,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133727,7 +133302,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133735,35 +133314,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133771,23 +133334,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133795,23 +133358,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133819,43 +133382,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134063,7 +133618,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134083,10 +133638,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134119,6 +133670,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134139,10 +133694,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134167,11 +133718,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134203,6 +133754,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134319,6 +133874,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_3_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134327,10 +133886,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134351,6 +133906,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134359,10 +133918,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134395,6 +133950,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134415,10 +133974,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134459,11 +134014,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134475,6 +134030,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134499,6 +134058,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134523,11 +134086,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134591,18 +134154,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_3_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_8 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134627,10 +134186,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134651,11 +134206,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134715,10 +134274,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134727,10 +134282,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134747,6 +134298,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134755,10 +134310,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134783,10 +134334,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134803,6 +134350,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_40_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134827,15 +134378,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_40_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134843,7 +134398,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134859,51 +134426,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134911,7 +134462,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134919,11 +134474,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134935,27 +134486,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135187,7 +134730,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135203,10 +134746,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135243,6 +134782,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135255,10 +134798,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135287,6 +134826,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_41_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_41_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135299,10 +134842,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135359,10 +134898,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135395,7 +134930,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135403,63 +134942,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135467,23 +134990,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135491,7 +135010,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135499,31 +135022,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_368 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135531,10 +135058,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135619,7 +135142,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135663,10 +135186,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_41_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135679,6 +135198,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135731,6 +135254,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135755,10 +135282,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135783,6 +135306,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135831,10 +135358,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135851,6 +135374,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135871,6 +135398,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135883,6 +135414,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135915,10 +135450,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135943,7 +135474,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135951,39 +135482,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135991,15 +135526,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136007,6 +135534,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136015,27 +135546,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136267,6 +135794,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136295,10 +135826,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136319,6 +135846,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136335,10 +135866,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136363,6 +135890,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_43_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136375,10 +135906,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136407,7 +135934,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136435,6 +135962,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136443,10 +135974,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136463,6 +135990,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136475,19 +136006,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136495,63 +136018,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136559,15 +136066,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_43_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136575,39 +136094,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136615,10 +136130,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136815,7 +136326,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136863,11 +136374,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136903,10 +136414,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136939,6 +136446,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_44_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136959,10 +136470,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137003,6 +136510,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137031,7 +136542,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137039,39 +136550,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137083,11 +136602,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137095,7 +136614,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137103,11 +136626,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137115,31 +136634,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137331,7 +136842,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137343,10 +136854,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137363,6 +136870,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137371,6 +136882,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137419,6 +136934,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137447,10 +136966,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137463,6 +136978,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_45_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137475,10 +136994,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137567,11 +137082,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137579,27 +137090,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137607,11 +137110,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137619,11 +137118,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137631,11 +137134,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137643,15 +137146,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137659,31 +137158,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_45_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137691,10 +137210,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137943,7 +137458,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137987,6 +137502,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_46_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137999,10 +137518,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138011,11 +137526,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138039,10 +137554,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_46_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138055,6 +137566,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138111,15 +137626,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138127,27 +137638,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_192 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138155,23 +137662,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_46_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138179,11 +137694,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138191,31 +137706,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_46_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138447,7 +137970,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138487,10 +138010,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138503,7 +138022,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138523,10 +138042,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_47_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138599,6 +138114,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_47_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_47_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138619,11 +138138,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138643,6 +138162,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_47_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138655,7 +138178,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138663,27 +138190,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_47_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_47_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138691,27 +138230,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138727,19 +138254,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138747,19 +138262,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138767,23 +138278,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138791,10 +138298,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_47_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138935,7 +138438,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138971,6 +138474,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_47_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_47_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139011,10 +138518,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_47_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139047,10 +138550,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_47_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139087,10 +138586,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_48_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139111,6 +138606,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_48_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139179,10 +138678,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_48_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139207,7 +138702,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139215,35 +138710,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139251,7 +138770,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139259,7 +138778,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139267,31 +138790,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139523,7 +139046,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139567,6 +139090,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_48_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139603,6 +139130,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_49_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139619,10 +139150,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_49_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139635,6 +139162,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_49_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139655,10 +139186,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_49_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139671,6 +139198,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_49_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_49_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139683,10 +139214,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_49_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139723,11 +139250,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139735,55 +139258,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139791,19 +139310,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139811,11 +139330,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_49_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140067,7 +139594,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140115,6 +139642,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_49_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140123,10 +139654,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_49_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140167,6 +139694,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_4_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140179,10 +139710,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140227,6 +139754,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140235,10 +139766,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140259,7 +139786,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140271,11 +139798,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140291,6 +139818,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_4_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140339,7 +139870,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140359,10 +139890,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140387,6 +139914,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140451,6 +139982,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_4_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140515,11 +140050,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140543,6 +140078,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140555,10 +140094,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_75 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_4_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140595,10 +140130,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140607,6 +140138,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_4_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140619,10 +140154,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140659,6 +140190,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_4_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140671,10 +140206,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140715,6 +140246,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_50_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140727,10 +140262,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_50_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140755,10 +140286,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_50_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140783,11 +140310,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140807,19 +140334,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_50_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_50_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140827,59 +140358,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140887,7 +140422,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140895,31 +140430,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_50_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_50_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141151,6 +140686,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_50_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141163,10 +140702,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_50_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141215,10 +140750,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_50_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141287,7 +140818,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141319,7 +140850,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141355,7 +140886,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141363,11 +140906,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141375,27 +140914,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141411,11 +140978,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141423,23 +140994,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_308 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141447,23 +141010,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141471,10 +141030,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_51_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141559,6 +141114,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_51_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141567,10 +141126,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_51_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141615,6 +141170,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_51_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141623,10 +141182,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_51_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141643,10 +141198,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_75 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_51_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141675,7 +141226,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141711,7 +141262,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141739,6 +141290,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_51_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141755,10 +141310,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_51_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141775,6 +141326,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_52_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141787,7 +141342,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141819,6 +141374,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_52_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141871,6 +141430,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_52_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_52_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141887,15 +141450,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141903,63 +141466,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141967,7 +141522,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_52_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141975,7 +141538,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141983,23 +141546,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_52_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_52_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142075,7 +141654,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142095,10 +141674,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_52_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142139,6 +141714,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_52_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_52_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142191,6 +141770,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_52_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_52_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142199,10 +141782,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_52_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142219,6 +141798,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_52_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142227,6 +141810,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_52_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_52_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142275,6 +141862,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_52_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_52_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142315,6 +141906,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_53_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_53_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142327,10 +141922,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_53_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142359,10 +141950,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_53_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142387,6 +141974,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_53_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142411,10 +142002,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_53_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142427,15 +142014,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142443,51 +142026,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142507,19 +142082,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142531,11 +142094,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_53_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142675,27 +142250,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142707,23 +142282,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142739,11 +142314,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142763,6 +142338,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_53_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142783,10 +142362,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_53_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142803,10 +142378,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_53_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142843,10 +142414,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_53_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142867,6 +142434,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_54_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142891,10 +142462,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_54_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142911,11 +142478,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142931,10 +142498,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_54_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142971,6 +142534,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_54_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142987,15 +142554,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143003,63 +142562,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_54_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143067,11 +142634,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143083,27 +142650,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143179,6 +142742,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_54_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143191,10 +142758,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_54_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143219,59 +142782,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_54_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143287,11 +142858,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143327,7 +142898,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143379,7 +142950,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143399,6 +142970,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_55_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143411,10 +142986,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_55_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143427,10 +142998,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_55_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143447,10 +143014,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_55_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143471,7 +143034,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143491,6 +143054,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_55_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143515,6 +143082,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_55_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143535,11 +143106,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143547,15 +143126,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143563,15 +143146,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143579,19 +143170,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143603,15 +143202,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143619,15 +143218,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143651,7 +143254,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143691,10 +143294,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_55_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143715,11 +143314,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143731,6 +143330,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_55_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143743,35 +143346,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_55_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_55_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_55_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143779,47 +143398,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143851,10 +143478,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_84 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_55_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143887,10 +143510,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_55_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143899,6 +143518,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_55_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143931,6 +143554,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_56_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143967,6 +143594,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_56_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143979,7 +143610,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144019,6 +143650,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_56_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_56_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144031,10 +143666,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_56_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144051,11 +143682,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144063,27 +143694,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144091,31 +143718,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144123,19 +143758,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_56_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144143,27 +143782,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144183,11 +143826,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144235,10 +143878,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_56_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144247,6 +143886,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_56_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144275,35 +143918,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144311,59 +143942,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_75 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144375,6 +144002,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_56_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_56_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144383,10 +144014,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_56_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144403,6 +144030,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_56_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144411,6 +144042,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_56_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144423,10 +144058,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_56_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144443,10 +144074,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_56_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144455,6 +144082,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_56_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_56_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144507,7 +144138,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144527,10 +144158,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_57_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144539,6 +144166,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_57_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144551,6 +144182,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_57_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144567,6 +144202,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_57_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144591,6 +144230,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_57_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144611,115 +144254,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144735,10 +144358,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_57_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144767,10 +144386,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_57_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144783,6 +144398,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_57_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144815,11 +144434,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144847,31 +144466,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144879,67 +144498,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_722 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144955,7 +144554,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144991,6 +144590,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_57_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144999,18 +144602,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_57_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_57_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145035,6 +144630,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_57_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145043,10 +144642,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145059,6 +144654,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_58_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145067,10 +144666,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145083,10 +144678,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_58_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145099,11 +144690,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145131,10 +144722,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145143,6 +144730,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_58_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145175,6 +144766,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145183,31 +144778,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145215,27 +144810,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145243,27 +144826,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145271,47 +144850,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_58_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145343,10 +144918,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145375,6 +144946,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145399,35 +144974,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_58_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145435,47 +145014,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145487,10 +145070,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145499,6 +145078,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_58_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145515,10 +145098,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145527,6 +145106,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_58_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145555,10 +145138,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_58_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145579,6 +145158,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_58_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_58_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145587,10 +145170,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145611,6 +145190,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_59_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145619,10 +145202,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_59_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145643,6 +145222,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_59_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145683,6 +145266,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_59_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145723,19 +145310,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_59_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145743,47 +145346,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_59_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_59_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145791,31 +145410,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145831,6 +145442,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_59_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145859,7 +145474,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145887,10 +145502,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_59_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145931,111 +145542,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146047,10 +145662,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_79 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_59_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146059,6 +145670,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_59_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_59_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146099,6 +145714,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_59_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146107,7 +145726,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146179,11 +145798,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146295,10 +145914,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_18 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146327,11 +145942,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146387,11 +146002,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146455,11 +146070,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146471,10 +146086,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146499,7 +146110,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146531,10 +146142,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_5_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146555,6 +146162,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146567,10 +146178,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146615,11 +146222,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146671,11 +146278,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146711,6 +146318,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_60_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146731,10 +146342,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_60_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_60_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146759,7 +146378,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146803,6 +146422,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_60_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146811,11 +146434,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146823,75 +146450,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146903,51 +146502,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146967,6 +146574,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_60_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146979,10 +146590,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_60_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -146999,10 +146606,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_60_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147027,6 +146630,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_60_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147039,115 +146646,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_781 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147155,10 +146754,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_60_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147199,10 +146794,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_60_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147227,10 +146818,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_60_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147263,7 +146850,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147303,6 +146890,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_61_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147327,10 +146918,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_61_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147347,6 +146934,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_61_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147383,23 +146974,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147407,75 +146986,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147495,7 +147090,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147523,10 +147118,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_61_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147571,19 +147162,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147591,55 +147186,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147647,35 +147246,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147691,7 +147290,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147715,10 +147314,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_61_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147731,6 +147326,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_61_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_61_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147739,10 +147338,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_61_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147759,6 +147354,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_61_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147783,6 +147382,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_62_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147791,10 +147394,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_62_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147807,6 +147406,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_62_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_62_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147827,10 +147430,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_62_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147855,7 +147454,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147891,7 +147490,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147915,43 +147514,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_172 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147959,7 +147550,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147967,39 +147558,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148007,11 +147586,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148019,15 +147598,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148071,7 +147650,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148103,10 +147682,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_62_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148119,10 +147694,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_62_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148135,39 +147706,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_62_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_62_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_559 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148175,11 +147738,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148187,95 +147750,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148287,6 +147838,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_62_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148295,6 +147850,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_62_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_62_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148307,10 +147866,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_62_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148319,6 +147874,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_62_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_62_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148335,11 +147894,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148351,6 +147910,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_62_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148379,10 +147942,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_63_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148391,6 +147950,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_63_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_63_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148399,10 +147962,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_63_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148459,6 +148018,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_63_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148487,6 +148050,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_63_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148495,43 +148062,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_63_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_63_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148543,51 +148122,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_63_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148611,10 +148198,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_63_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148627,6 +148210,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_63_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_63_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148671,10 +148258,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_63_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148683,23 +148266,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148707,47 +148290,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148755,63 +148338,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_799 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_63_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_63_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148855,10 +148450,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_63_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148867,6 +148458,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_63_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148899,10 +148494,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_64_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148923,6 +148514,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_64_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148947,10 +148542,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_64_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148967,10 +148558,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_64_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148979,6 +148566,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_64_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_64_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148999,10 +148590,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_64_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149019,7 +148606,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149027,19 +148614,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149047,51 +148626,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149099,15 +148674,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149115,11 +148686,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149227,131 +148798,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149379,7 +148946,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149423,6 +148990,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_64_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149431,10 +149002,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_64_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149471,7 +149038,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149495,6 +149062,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_65_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149515,7 +149086,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149535,6 +149106,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_65_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149579,15 +149154,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149595,27 +149162,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149623,35 +149194,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149659,15 +149218,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_65_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149691,10 +149258,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_65_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149711,6 +149274,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_65_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_65_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149731,10 +149298,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_65_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149759,11 +149322,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149771,31 +149334,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149803,83 +149374,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_65_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149899,10 +149458,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_65_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149911,6 +149466,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_65_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149931,10 +149490,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_65_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149963,6 +149518,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_65_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_65_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149991,11 +149550,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150019,10 +149578,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_66_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150031,6 +149586,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_66_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_66_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150051,10 +149610,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_66_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150083,10 +149638,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_66_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150099,15 +149650,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_66_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_66_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150115,79 +149674,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150195,15 +149730,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150211,27 +149742,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_66_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150239,10 +149778,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_66_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150279,11 +149814,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150311,6 +149846,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_66_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150319,99 +149858,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_722 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150419,39 +149954,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150459,27 +149982,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_66_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_851 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_863 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150487,6 +150014,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_66_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150535,6 +150066,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_66_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150551,10 +150086,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_67_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150583,11 +150114,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150607,10 +150138,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_67_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150647,6 +150174,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_67_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_67_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150671,119 +150202,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150847,10 +150342,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_67_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150871,15 +150362,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_67_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150887,15 +150382,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_67_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150907,27 +150410,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150935,99 +150430,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_809 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151059,10 +150530,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_67_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151071,6 +150538,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_67_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_67_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151099,6 +150570,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_68_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_68_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151155,6 +150634,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_68_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_68_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151179,11 +150662,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151227,11 +150710,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_68_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151239,11 +150726,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_68_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151251,35 +150742,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151287,15 +150778,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151303,7 +150794,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151311,6 +150806,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_68_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_68_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151347,7 +150846,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151375,10 +150874,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_68_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151395,6 +150890,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_68_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_68_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151411,103 +150910,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151519,43 +151026,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_827 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_68_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_68_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_68_851 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151583,10 +151106,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_68_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151595,6 +151114,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_68_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151623,10 +151146,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_69_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151655,10 +151174,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_69_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151671,6 +151186,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151691,10 +151210,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151703,6 +151218,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151727,11 +151246,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151759,15 +151278,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151775,31 +151286,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151811,35 +151322,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151847,15 +151346,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151863,6 +151362,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151879,10 +151382,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_69_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151895,6 +151394,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151903,10 +151406,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_69_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151919,6 +151418,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151931,6 +151434,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_69_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_69_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151939,147 +151446,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152087,6 +151594,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_69_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152119,7 +151630,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152143,10 +151654,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152155,6 +151662,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152203,10 +151714,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152287,6 +151794,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152323,6 +151834,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152335,10 +151850,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152363,6 +151874,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152403,7 +151918,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152455,11 +151970,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152491,10 +152006,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_58 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152511,6 +152022,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152523,10 +152038,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_6_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152567,6 +152078,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152583,10 +152098,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152603,6 +152114,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_6_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_6_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152715,6 +152230,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_70_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152727,10 +152246,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_70_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152767,11 +152282,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152807,7 +152322,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152827,83 +152342,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_70_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152911,27 +152434,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_70_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_70_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152979,6 +152514,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_70_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152987,63 +152526,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_70_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153051,59 +152602,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_738 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_746 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153111,23 +152650,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153135,15 +152666,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153151,39 +152678,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153195,7 +152722,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153223,10 +152750,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_70_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153247,6 +152770,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_71_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_71_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153255,10 +152782,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_71_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153299,6 +152822,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_71_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153315,6 +152842,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_71_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153359,11 +152890,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153371,15 +152902,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153387,15 +152918,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_71_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_71_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_71_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153403,23 +152950,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153427,31 +152970,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153463,10 +153006,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_71_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153491,6 +153030,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_71_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153511,10 +153054,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_71_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153523,59 +153062,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_71_602 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153583,23 +153130,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153607,23 +153158,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_689 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153635,51 +153178,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153687,31 +153230,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_868 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_874 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_880 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153723,19 +153250,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_901 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_904 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153743,11 +153262,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_71_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153787,11 +153314,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153819,11 +153346,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153851,6 +153378,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_72_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153895,27 +153426,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_72_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153923,39 +153462,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_72_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153963,31 +153514,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -153999,6 +153546,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_72_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154007,10 +153558,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_72_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154043,23 +153590,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_72_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154067,11 +153618,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154079,59 +153630,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154139,23 +153678,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154163,103 +153706,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_856 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_869 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_881 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_893 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_905 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_72_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154267,11 +153838,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154291,10 +153862,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_72_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154319,6 +153886,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_73_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_73_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154327,11 +153898,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154347,10 +153918,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_73_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154395,10 +153962,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_73_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154423,11 +153986,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154439,15 +154002,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154459,87 +154022,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154559,11 +154118,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154599,19 +154158,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_73_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154619,31 +154182,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154651,171 +154206,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_73_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_73_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_73_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154835,7 +154402,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -154875,10 +154442,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_74_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154895,6 +154458,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_74_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_74_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154927,6 +154494,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_74_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154963,71 +154534,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_74_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155035,27 +154622,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155071,6 +154658,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_74_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155103,31 +154694,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155135,47 +154730,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155183,43 +154778,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_74_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_716 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155227,111 +154846,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155375,10 +154990,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_75_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155391,10 +155002,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_75_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_75_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_75_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155419,10 +155038,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_75_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155447,6 +155062,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_75_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_75_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155455,10 +155074,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_75_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155475,83 +155090,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_75_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155559,39 +155158,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155631,6 +155230,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_75_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_75_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155647,19 +155250,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155667,19 +155270,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155691,31 +155306,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155723,79 +155350,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_770 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155803,51 +155426,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_884 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155855,23 +155470,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_75_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155891,6 +155510,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_75_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_75_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155899,7 +155522,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -155935,10 +155558,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_76_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155955,6 +155574,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_76_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_76_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155971,10 +155594,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_76_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156007,10 +155626,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_76_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156031,43 +155646,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_76_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156075,11 +155686,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_76_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156087,19 +155702,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_76_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156111,7 +155730,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156119,23 +155738,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_76_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156147,10 +155774,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_76_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156183,10 +155806,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_76_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156199,75 +155818,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_548 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_554 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_562 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_575 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_583 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156275,51 +155866,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_675 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156327,11 +155902,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_76_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156339,131 +155918,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156479,7 +156054,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156523,11 +156098,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156575,6 +156150,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_77_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156599,6 +156178,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_77_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_77_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156607,43 +156190,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156651,31 +156230,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_271 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156683,23 +156246,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_77_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156727,10 +156298,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_77_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156751,6 +156318,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_77_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156763,10 +156334,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_77_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156779,79 +156346,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_77_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_77_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_77_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_607 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156859,15 +156430,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -156875,139 +156454,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_918 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157075,10 +156642,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_78_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157115,7 +156678,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157143,6 +156706,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_78_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157167,75 +156734,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157243,27 +156810,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157295,6 +156866,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_78_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157319,59 +156894,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157379,63 +156950,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_689 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157443,63 +157002,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157507,83 +157054,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_949 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_78_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_78_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157607,6 +157170,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_79_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157635,11 +157202,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157659,7 +157226,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157691,10 +157258,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_79_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157727,35 +157290,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157763,43 +157342,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_79_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157807,23 +157398,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157859,43 +157450,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157903,87 +157490,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_720 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -157991,7 +157574,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_742 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_750 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158003,95 +157598,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_914 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_922 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_926 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_941 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158103,6 +157678,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_79_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158255,11 +157834,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158283,6 +157862,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158319,7 +157902,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158331,7 +157914,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158343,6 +157926,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158359,10 +157946,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158371,6 +157954,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158395,7 +157982,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158527,6 +158114,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158695,10 +158286,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_80_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158711,6 +158298,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_80_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158719,6 +158310,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_80_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158731,10 +158326,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_80_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158743,6 +158334,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_80_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158759,6 +158354,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_80_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158767,10 +158366,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_80_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158783,10 +158378,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_80_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158795,35 +158386,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158831,15 +158422,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158847,43 +158442,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158891,7 +158474,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158923,11 +158506,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -158939,6 +158522,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_80_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158975,119 +158562,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159103,43 +158678,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159147,15 +158726,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_80_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159163,43 +158746,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_901 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159207,19 +158778,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159227,11 +158806,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_80_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159267,11 +158850,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159283,6 +158866,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_81_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159295,10 +158882,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_81_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159307,6 +158890,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_81_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_81_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159335,11 +158922,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159359,75 +158946,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_81_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159435,27 +159002,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159467,10 +159042,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_81_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159483,10 +159054,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_81_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159523,43 +159090,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_547 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159567,47 +159130,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159615,7 +159166,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159623,31 +159178,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159655,19 +159222,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159675,71 +159242,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159755,6 +159322,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_82_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159767,7 +159338,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159815,10 +159386,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_82_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159871,11 +159438,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159883,23 +159446,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159907,39 +159474,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_82_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159947,11 +159530,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -159959,6 +159538,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_82_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159967,10 +159550,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_34 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_82_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159991,6 +159570,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_82_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160015,55 +159598,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160071,15 +159662,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160087,63 +159670,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160151,95 +159726,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_927 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160247,10 +159814,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_82_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160267,11 +159830,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_82_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_83_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160279,10 +159850,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_83_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160295,6 +159862,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_83_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_83_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160311,10 +159882,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_83_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160323,6 +159890,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_83_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_83_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160335,10 +159906,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_83_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160347,6 +159914,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_83_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_83_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160363,10 +159934,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_83_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160383,6 +159950,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_83_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160403,27 +159974,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160431,7 +159990,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160443,59 +160006,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_83_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160539,10 +160106,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_83_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160559,11 +160122,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160575,31 +160142,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160607,19 +160178,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160627,71 +160198,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_702 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_716 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_722 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160699,19 +160250,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_772 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160719,15 +160274,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160735,63 +160282,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_83_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160799,15 +160350,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_965 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_83_977 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_83_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160815,10 +160378,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_84_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160839,6 +160398,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_84_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160847,10 +160410,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_84_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160875,10 +160434,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_84_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160903,10 +160458,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_84_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160927,10 +160478,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_84_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160947,11 +160494,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_1273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -160959,59 +160510,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161019,67 +160554,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161091,11 +160618,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161115,6 +160642,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_84_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161135,59 +160666,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_58 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161195,55 +160710,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161251,55 +160754,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161307,83 +160802,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_84_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161395,7 +160886,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161407,6 +160902,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_85_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161427,10 +160926,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_85_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161459,7 +160954,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161491,6 +160986,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_85_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161499,10 +160998,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_85_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161527,15 +161022,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161547,67 +161042,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161615,43 +161094,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_358 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161659,11 +161130,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161679,6 +161150,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_85_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161695,103 +161170,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161799,35 +161270,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161835,15 +161302,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161851,23 +161314,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -161875,107 +161330,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_1008 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_1020 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162007,10 +161446,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_86_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162019,6 +161454,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_86_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162043,11 +161482,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_1185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162075,6 +161514,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_86_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162087,119 +161530,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_86_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162235,15 +161666,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162251,15 +161682,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162267,23 +161706,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_576 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162291,7 +161722,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162299,43 +161730,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_86_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162343,67 +161782,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162411,71 +161834,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162483,11 +161906,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_993 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_87_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162495,10 +161926,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_87_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162527,11 +161954,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162551,6 +161978,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_87_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_87_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162563,10 +161994,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_87_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162587,6 +162014,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_87_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162615,99 +162046,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162715,11 +162138,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162727,23 +162146,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162755,10 +162178,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_87_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162767,6 +162186,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_87_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162775,191 +162198,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_763 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_770 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162967,15 +162354,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -162983,95 +162366,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_87_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_972 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_984 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163083,10 +162454,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_88_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163107,10 +162474,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_88_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163127,6 +162490,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_88_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_88_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163175,11 +162546,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163203,155 +162574,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_88_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163375,67 +162726,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_88_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_566 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163443,19 +162786,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163463,15 +162818,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163483,79 +162838,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163563,7 +162918,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_88_850 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163571,51 +162934,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_976 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163627,7 +162986,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163635,11 +162998,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163667,6 +163030,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_89_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163675,10 +163042,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_89_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163691,10 +163054,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_89_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163707,6 +163066,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_89_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163719,10 +163082,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_89_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163739,6 +163098,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_89_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_89_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163759,139 +163122,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163923,23 +163274,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163947,31 +163302,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163979,79 +163338,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164059,31 +163410,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164091,27 +163442,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_81 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_814 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164123,71 +163466,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_970 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164279,10 +163618,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_12 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164331,6 +163666,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_14 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164351,10 +163690,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164363,6 +163698,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164391,10 +163730,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164403,6 +163738,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_8_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164415,7 +163754,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164435,10 +163774,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164455,10 +163790,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164471,6 +163802,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164487,10 +163822,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164507,6 +163838,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164515,10 +163850,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164559,6 +163890,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164571,10 +163906,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164615,6 +163946,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164631,10 +163966,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164647,10 +163978,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_8_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164767,7 +164106,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164799,11 +164138,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164835,6 +164174,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_90_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_90_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164871,27 +164214,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_90_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164899,31 +164246,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164931,27 +164274,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164963,35 +164302,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -164999,11 +164330,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165035,6 +164366,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_90_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165047,35 +164382,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_496 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165083,23 +164406,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165107,155 +164434,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_808 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_816 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_832 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_847 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_851 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165263,35 +164558,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_913 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165299,31 +164582,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165339,7 +164614,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_91_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_91_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165347,10 +164630,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_91_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165363,6 +164642,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_91_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_91_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165379,10 +164662,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_91_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165391,6 +164670,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_91_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_91_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165403,6 +164686,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_91_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_91_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165415,11 +164702,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165443,10 +164730,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_91_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165467,31 +164750,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_91_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_91_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165499,103 +164766,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_91_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165607,10 +164878,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_91_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165631,11 +164898,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_91_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_91_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_91_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165643,67 +164922,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165711,67 +164990,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165779,15 +165058,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_749 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165795,7 +165070,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165803,47 +165078,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_848 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_91_852 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_91_860 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_91_863 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_91_869 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_91_872 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_91_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165851,55 +165150,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_980 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165907,10 +165194,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_92_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165931,10 +165214,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_92_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165947,7 +165226,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -165987,6 +165266,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_92_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -165999,6 +165282,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_92_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -166035,7 +165322,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166043,67 +165330,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166111,55 +165390,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_92_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_92_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_92_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_92_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166175,43 +165478,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_92_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166219,19 +165518,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166239,35 +165530,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166275,27 +165558,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166311,27 +165598,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166347,27 +165634,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_774 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166375,27 +165650,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_834 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166403,7 +165674,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_850 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_92_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166411,15 +165686,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166427,43 +165698,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166475,7 +165746,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_93_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166483,10 +165758,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_93_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -166503,6 +165774,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_93_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_93_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -166515,10 +165790,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_93_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -166539,6 +165810,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_93_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -166571,6 +165846,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_93_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -166579,10 +165858,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_93_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -166603,87 +165878,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166691,11 +165962,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166703,19 +165978,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166723,35 +165990,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166767,6 +166022,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_93_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -166783,43 +166042,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_93_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166827,83 +166090,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_700 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166911,51 +166178,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166963,23 +166230,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_879 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_93_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -166987,35 +166258,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_93_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167063,15 +166342,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_94_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167107,6 +166390,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_94_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -167147,7 +166434,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167155,63 +166442,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167219,11 +166506,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167231,19 +166522,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167255,15 +166546,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_330 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167271,35 +166558,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167307,19 +166598,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167327,159 +166618,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167487,31 +166774,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167519,35 +166806,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_901 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167555,39 +166834,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_952 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167599,6 +166862,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_95_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_95_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_95_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -167635,10 +166906,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_95_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -167659,7 +166926,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167679,10 +166946,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_95_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -167699,10 +166962,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_95_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -167727,39 +166986,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_95_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167767,55 +167006,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167823,15 +167062,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167839,75 +167070,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -167915,95 +167134,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168011,23 +167234,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_648 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168035,135 +167250,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_95_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_95_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168171,27 +167390,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168219,10 +167434,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_96_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -168267,6 +167478,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_96_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -168303,6 +167518,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_96_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -168319,31 +167538,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_96_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168351,27 +167574,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168379,71 +167602,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168451,23 +167666,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_400 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168475,103 +167686,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_96_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_96_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_96_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_96_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_96_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168579,43 +167822,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_96_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168623,75 +167870,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_878 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_886 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_892 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_898 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_904 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_910 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168699,31 +167922,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_967 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168735,7 +167954,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168751,6 +167970,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_97_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_97_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -168775,15 +167998,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_97_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168791,6 +168010,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_97_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_97_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -168803,7 +168026,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168819,11 +168042,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168839,10 +168062,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_97_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -168879,51 +168098,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168931,39 +168146,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -168971,99 +168194,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_441 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169071,31 +168266,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_528 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_538 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169103,71 +168290,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169175,23 +168362,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169199,107 +168386,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169335,6 +168518,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_98_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_98_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -169383,10 +168570,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_98_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -169399,6 +168582,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_98_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -169427,27 +168614,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169459,31 +168650,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169491,7 +168682,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_98_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169499,247 +168698,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_730 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_738 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_744 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_748 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169751,59 +168918,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_850 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169811,55 +168982,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_941 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_948 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_959 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169875,7 +169034,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169899,10 +169058,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_99_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -169943,7 +169098,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -169971,10 +169126,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_99_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -169999,11 +169150,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170015,23 +169166,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_148 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170039,31 +169174,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170071,91 +169206,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_366 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170167,43 +169298,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_99_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170211,35 +169358,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_543 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170247,31 +169390,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170279,31 +169422,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170311,35 +169450,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_724 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170347,31 +169482,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_807 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_99_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170383,15 +169522,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_859 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_863 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_99_880 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_888 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_99_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170399,51 +169554,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_967 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170631,6 +169774,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -170639,15 +169786,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170671,11 +169818,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170703,10 +169850,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -170739,7 +169882,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -170775,7 +169918,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -184281,8195 +183424,7667 @@
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_999 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _08566_ (.A(\u_i2cm.u_byte_ctrl.ld ),
+ sky130_fd_sc_hd__or2_2 _08090_ (.A(\u_i2cm.u_byte_ctrl.ld ),
     .B(\u_i2cm.u_byte_ctrl.shift ),
-    .X(_05459_),
+    .X(_05232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08567_ (.A(_05459_),
-    .X(_05460_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08091_ (.A(_05232_),
+    .X(_05233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08568_ (.A(_05459_),
-    .Y(_05461_),
+ sky130_fd_sc_hd__inv_2 _08092_ (.A(_05232_),
+    .Y(_05234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08569_ (.A(_05461_),
-    .X(_05462_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08093_ (.A(_05234_),
+    .X(_05235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08570_ (.A1(_00051_),
-    .A2(_05460_),
+ sky130_fd_sc_hd__a22o_1 _08094_ (.A1(_00051_),
+    .A2(_05233_),
     .B1(\u_i2cm.rxr[6] ),
-    .B2(_05462_),
+    .B2(_05235_),
     .X(_02835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08571_ (.A1(_00050_),
-    .A2(_05460_),
+ sky130_fd_sc_hd__a22o_1 _08095_ (.A1(_00050_),
+    .A2(_05233_),
     .B1(\u_i2cm.rxr[5] ),
-    .B2(_05462_),
+    .B2(_05235_),
     .X(_02834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08572_ (.A1(_00049_),
-    .A2(_05460_),
+ sky130_fd_sc_hd__a22o_1 _08096_ (.A1(_00049_),
+    .A2(_05233_),
     .B1(\u_i2cm.rxr[4] ),
-    .B2(_05462_),
+    .B2(_05235_),
     .X(_02833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08573_ (.A(_05459_),
-    .X(_05463_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08097_ (.A(_05232_),
+    .X(_05236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08574_ (.A(_05461_),
-    .X(_05464_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08098_ (.A(_05234_),
+    .X(_05237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08575_ (.A1(_00048_),
-    .A2(_05463_),
+ sky130_fd_sc_hd__a22o_1 _08099_ (.A1(_00048_),
+    .A2(_05236_),
     .B1(\u_i2cm.rxr[3] ),
-    .B2(_05464_),
+    .B2(_05237_),
     .X(_02832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08576_ (.A1(_00047_),
-    .A2(_05463_),
+ sky130_fd_sc_hd__a22o_1 _08100_ (.A1(_00047_),
+    .A2(_05236_),
     .B1(\u_i2cm.rxr[2] ),
-    .B2(_05464_),
+    .B2(_05237_),
     .X(_02831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08577_ (.A1(_00046_),
-    .A2(_05463_),
+ sky130_fd_sc_hd__a22o_1 _08101_ (.A1(_00046_),
+    .A2(_05236_),
     .B1(\u_i2cm.rxr[1] ),
-    .B2(_05464_),
+    .B2(_05237_),
     .X(_02830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08578_ (.A1(_00045_),
-    .A2(_05463_),
+ sky130_fd_sc_hd__a22o_1 _08102_ (.A1(_00045_),
+    .A2(_05236_),
     .B1(\u_i2cm.rxr[0] ),
-    .B2(_05464_),
+    .B2(_05237_),
     .X(_02829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08579_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
+ sky130_fd_sc_hd__or2_1 _08103_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
-    .X(_05465_),
+    .X(_05238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08580_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
+ sky130_fd_sc_hd__or3_1 _08104_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
-    .X(_05466_),
+    .X(_05239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08581_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
-    .B(_05466_),
-    .X(_05467_),
+ sky130_fd_sc_hd__or2_1 _08105_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
+    .B(_05239_),
+    .X(_05240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08582_ (.A(_05465_),
-    .B(_05467_),
-    .X(_05468_),
+ sky130_fd_sc_hd__or2_1 _08106_ (.A(_05238_),
+    .B(_05240_),
+    .X(_05241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _08583_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
+ sky130_fd_sc_hd__or3_2 _08107_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
-    .C(_05468_),
-    .X(_05469_),
+    .C(_05241_),
+    .X(_05242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08584_ (.A(_05469_),
-    .X(_05470_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08108_ (.A(_05242_),
+    .X(_05243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08585_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
-    .X(_05471_),
+ sky130_fd_sc_hd__inv_2 _08109_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
+    .Y(_05244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08586_ (.A(_05471_),
-    .Y(_05472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08587_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
-    .X(_05473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08588_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
+ sky130_fd_sc_hd__or2_1 _08110_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
-    .X(_05474_),
+    .X(_05245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _08589_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
+ sky130_fd_sc_hd__or4_1 _08111_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
     .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
-    .X(_05475_),
+    .X(_05246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08590_ (.A(_05474_),
-    .B(_05475_),
-    .X(_05476_),
+ sky130_fd_sc_hd__or2_1 _08112_ (.A(_05245_),
+    .B(_05246_),
+    .X(_05247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _08591_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .B(_05472_),
-    .C(_05473_),
-    .D(_05476_),
-    .X(_05477_),
+ sky130_fd_sc_hd__or4_1 _08113_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
+    .B(_05244_),
+    .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
+    .D(_05247_),
+    .X(_05248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08592_ (.A(_05470_),
-    .B(_05477_),
-    .Y(_05478_),
+ sky130_fd_sc_hd__nor2_1 _08114_ (.A(_05243_),
+    .B(_05248_),
+    .Y(_05249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08593_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
-    .Y(_05479_),
+ sky130_fd_sc_hd__inv_2 _08115_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
+    .Y(_05250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _08594_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
+ sky130_fd_sc_hd__or4_1 _08116_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
-    .C(_05475_),
-    .D(_05474_),
-    .X(_05480_),
+    .C(_05246_),
+    .D(_05245_),
+    .X(_05251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08595_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .B(_05480_),
-    .X(_05481_),
+ sky130_fd_sc_hd__or2_1 _08117_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
+    .B(_05251_),
+    .X(_05252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08596_ (.A(_05468_),
-    .B(_05481_),
-    .X(_05482_),
+ sky130_fd_sc_hd__or2_1 _08118_ (.A(_05241_),
+    .B(_05252_),
+    .X(_05253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _08597_ (.A(_05479_),
+ sky130_fd_sc_hd__nor3_1 _08119_ (.A(_05250_),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
-    .C(_05482_),
-    .Y(_05483_),
+    .C(_05253_),
+    .Y(_05254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08598_ (.A(_05471_),
-    .B(_05473_),
-    .C(_05474_),
-    .X(_05484_),
+ sky130_fd_sc_hd__or3_1 _08120_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
+    .C(_05245_),
+    .X(_05255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _08599_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
+ sky130_fd_sc_hd__or4_1 _08121_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
-    .C(_05484_),
-    .D(_05469_),
-    .X(_05485_),
+    .C(_05255_),
+    .D(_05242_),
+    .X(_05256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08600_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
-    .Y(_05486_),
+ sky130_fd_sc_hd__inv_2 _08122_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
+    .Y(_05257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08601_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .Y(_05487_),
+ sky130_fd_sc_hd__inv_2 _08123_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
+    .Y(_05258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4b_1 _08602_ (.A_N(_05485_),
+ sky130_fd_sc_hd__and4b_1 _08124_ (.A_N(_05256_),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
-    .C(_05486_),
-    .D(_05487_),
-    .X(_05488_),
+    .C(_05257_),
+    .D(_05258_),
+    .X(_05259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08603_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
-    .Y(_05489_),
+ sky130_fd_sc_hd__inv_2 _08125_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
+    .Y(_05260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _08604_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
-    .B(_05489_),
-    .C(_05482_),
-    .Y(_05490_),
+ sky130_fd_sc_hd__nor3_1 _08126_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
+    .B(_05260_),
+    .C(_05253_),
+    .Y(_05261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08605_ (.A(_05487_),
-    .X(_05491_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08127_ (.A(_05258_),
+    .X(_05262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _08606_ (.A(_05491_),
-    .B(_05480_),
-    .C(_05469_),
-    .Y(_05492_),
+ sky130_fd_sc_hd__nor3_1 _08128_ (.A(_05262_),
+    .B(_05251_),
+    .C(_05242_),
+    .Y(_05263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08607_ (.A(_05473_),
-    .Y(_05493_),
+ sky130_fd_sc_hd__inv_2 _08129_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
+    .Y(_05264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _08608_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .B(_05471_),
-    .C(_05493_),
-    .D(_05476_),
-    .X(_05494_),
+ sky130_fd_sc_hd__or4_1 _08130_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
+    .C(_05264_),
+    .D(_05247_),
+    .X(_05265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08609_ (.A(_05470_),
-    .B(_05494_),
-    .Y(_05495_),
+ sky130_fd_sc_hd__nor2_1 _08131_ (.A(_05243_),
+    .B(_05265_),
+    .Y(_05266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08610_ (.A(_05490_),
-    .B(_05492_),
-    .C(_05495_),
-    .X(_05496_),
+ sky130_fd_sc_hd__or3_1 _08132_ (.A(_05261_),
+    .B(_05263_),
+    .C(_05266_),
+    .X(_05267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor4_1 _08611_ (.A(_05478_),
-    .B(_05483_),
-    .C(_05488_),
-    .D(_05496_),
-    .Y(_05497_),
+ sky130_fd_sc_hd__nor4_2 _08133_ (.A(_05249_),
+    .B(_05254_),
+    .C(_05259_),
+    .D(_05267_),
+    .Y(_05268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08612_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
-    .Y(_05498_),
+ sky130_fd_sc_hd__inv_2 _08134_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
+    .Y(_05269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08613_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
+ sky130_fd_sc_hd__or3_1 _08135_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
-    .C(_05481_),
-    .X(_05499_),
+    .C(_05252_),
+    .X(_05270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08614_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
-    .Y(_05500_),
+ sky130_fd_sc_hd__inv_2 _08136_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
+    .Y(_05271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08615_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
-    .Y(_05501_),
+ sky130_fd_sc_hd__inv_2 _08137_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
+    .Y(_05272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _08616_ (.A1(_05500_),
-    .A2(_05501_),
+ sky130_fd_sc_hd__a221o_1 _08138_ (.A1(_05271_),
+    .A2(_05272_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
     .B2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
-    .C1(_05467_),
-    .X(_05502_),
+    .C1(_05240_),
+    .X(_05273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08617_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
-    .Y(_05503_),
+ sky130_fd_sc_hd__inv_2 _08139_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
+    .Y(_05274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08618_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
-    .B(_05465_),
-    .C(_05499_),
-    .X(_05504_),
+ sky130_fd_sc_hd__or3_1 _08140_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
+    .B(_05238_),
+    .C(_05270_),
+    .X(_05275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _08619_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
+ sky130_fd_sc_hd__or4_1 _08141_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
-    .C(_05503_),
-    .D(_05504_),
-    .X(_05505_),
+    .C(_05274_),
+    .D(_05275_),
+    .X(_05276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08620_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
-    .Y(_05506_),
+ sky130_fd_sc_hd__inv_2 _08142_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
+    .Y(_05277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08621_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
-    .B(_05504_),
-    .X(_05507_),
+ sky130_fd_sc_hd__or2_1 _08143_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
+    .B(_05275_),
+    .X(_05278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _08622_ (.A(_05506_),
+ sky130_fd_sc_hd__or3_2 _08144_ (.A(_05277_),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
-    .C(_05507_),
-    .X(_05508_),
+    .C(_05278_),
+    .X(_05279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211ai_2 _08623_ (.A1(_05499_),
-    .A2(_05502_),
-    .B1(_05505_),
-    .C1(_05508_),
-    .Y(_05509_),
+ sky130_fd_sc_hd__o211ai_2 _08145_ (.A1(_05270_),
+    .A2(_05273_),
+    .B1(_05276_),
+    .C1(_05279_),
+    .Y(_05280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _08624_ (.A(_05498_),
+ sky130_fd_sc_hd__nor3_1 _08146_ (.A(_05269_),
     .B(\u_i2cm.i2c_al ),
-    .C(_05509_),
-    .Y(_05510_),
+    .C(_05280_),
+    .Y(_05281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08625_ (.A(_05491_),
-    .X(_05511_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08147_ (.A(_05262_),
+    .X(_05282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08626_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
-    .Y(_05512_),
+ sky130_fd_sc_hd__inv_2 _08148_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
+    .Y(_05283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor4_1 _08627_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
+ sky130_fd_sc_hd__nor4_1 _08149_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
-    .C(_05484_),
-    .D(_05470_),
-    .Y(_05513_),
+    .C(_05255_),
+    .D(_05243_),
+    .Y(_05284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _08628_ (.A(_05511_),
+ sky130_fd_sc_hd__and4_1 _08150_ (.A(_05282_),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
-    .C(_05512_),
-    .D(_05513_),
-    .X(_05514_),
+    .C(_05283_),
+    .D(_05284_),
+    .X(_05285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08629_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
-    .Y(_05515_),
+ sky130_fd_sc_hd__inv_2 _08151_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
+    .Y(_05286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor4_1 _08630_ (.A(_05471_),
-    .B(_05473_),
-    .C(_05475_),
-    .D(_05469_),
-    .Y(_05516_),
+ sky130_fd_sc_hd__nor4_1 _08152_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
+    .C(_05246_),
+    .D(_05242_),
+    .Y(_05287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _08631_ (.A(_05491_),
+ sky130_fd_sc_hd__and4_1 _08153_ (.A(_05262_),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
-    .C(_05515_),
-    .D(_05516_),
-    .X(_05517_),
+    .C(_05286_),
+    .D(_05287_),
+    .X(_05288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08632_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
-    .Y(_05518_),
+ sky130_fd_sc_hd__inv_2 _08154_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
+    .Y(_05289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor4_1 _08633_ (.A(_05518_),
-    .B(_05465_),
-    .C(_05466_),
-    .D(_05499_),
-    .Y(_05519_),
+ sky130_fd_sc_hd__nor4_1 _08155_ (.A(_05289_),
+    .B(_05238_),
+    .C(_05239_),
+    .D(_05270_),
+    .Y(_05290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08634_ (.A(_05517_),
-    .B(_05519_),
-    .X(_05520_),
+ sky130_fd_sc_hd__or2_1 _08156_ (.A(_05288_),
+    .B(_05290_),
+    .X(_05291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08635_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
-    .Y(_05521_),
+ sky130_fd_sc_hd__inv_2 _08157_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
+    .Y(_05292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4b_1 _08636_ (.A_N(_05485_),
-    .B(_05521_),
+ sky130_fd_sc_hd__and4b_1 _08158_ (.A_N(_05256_),
+    .B(_05292_),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
-    .D(_05491_),
-    .X(_05522_),
+    .D(_05262_),
+    .X(_05293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08637_ (.A(_05522_),
-    .B(_05496_),
-    .X(_05523_),
+ sky130_fd_sc_hd__or2_1 _08159_ (.A(_05293_),
+    .B(_05267_),
+    .X(_05294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08638_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
-    .Y(_05524_),
+ sky130_fd_sc_hd__inv_2 _08160_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
+    .Y(_05295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a41o_1 _08639_ (.A1(_05511_),
-    .A2(_05524_),
+ sky130_fd_sc_hd__a41o_1 _08161_ (.A1(_05282_),
+    .A2(_05295_),
     .A3(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
-    .A4(_05516_),
-    .B1(_05478_),
-    .X(_05525_),
+    .A4(_05287_),
+    .B1(_05249_),
+    .X(_05296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08640_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
-    .Y(_05526_),
+ sky130_fd_sc_hd__inv_2 _08162_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
+    .Y(_05297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a41o_1 _08641_ (.A1(_05511_),
-    .A2(_05526_),
+ sky130_fd_sc_hd__a41o_1 _08163_ (.A1(_05282_),
+    .A2(_05297_),
     .A3(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
-    .A4(_05513_),
-    .B1(_05488_),
-    .X(_05527_),
+    .A4(_05284_),
+    .B1(_05259_),
+    .X(_05298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08642_ (.A(_05525_),
-    .B(_05527_),
-    .X(_05528_),
+ sky130_fd_sc_hd__or2_1 _08164_ (.A(_05296_),
+    .B(_05298_),
+    .X(_05299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _08643_ (.A(_05514_),
-    .B(_05520_),
-    .C(_05523_),
-    .D(_05528_),
-    .X(_05529_),
+ sky130_fd_sc_hd__or4_1 _08165_ (.A(_05285_),
+    .B(_05291_),
+    .C(_05294_),
+    .D(_05299_),
+    .X(_05300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08644_ (.A(_05529_),
-    .Y(_05530_),
+ sky130_fd_sc_hd__inv_2 _08166_ (.A(_05300_),
+    .Y(_05301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08645_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
+ sky130_fd_sc_hd__nor2_1 _08167_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
     .B(\u_i2cm.i2c_al ),
-    .Y(_05531_),
+    .Y(_05302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _08646_ (.A1(_05497_),
-    .A2(_05510_),
-    .A3(_05530_),
-    .B1(_05531_),
-    .X(_05532_),
+ sky130_fd_sc_hd__a31o_1 _08168_ (.A1(_05268_),
+    .A2(_05281_),
+    .A3(_05301_),
+    .B1(_05302_),
+    .X(_05303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08647_ (.A(\u_i2cm.i2c_al ),
-    .X(_05533_),
+ sky130_fd_sc_hd__nor2_1 _08169_ (.A(\u_i2cm.i2c_al ),
+    .B(_05268_),
+    .Y(_05304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08648_ (.A(_05533_),
-    .X(_05534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08649_ (.A(_05534_),
-    .X(_05535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08650_ (.A(_05535_),
-    .X(_05536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08651_ (.A(_05536_),
-    .B(_05497_),
-    .Y(_05537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _08652_ (.A1_N(_05532_),
-    .A2_N(_05537_),
+ sky130_fd_sc_hd__a2bb2o_1 _08170_ (.A1_N(_05303_),
+    .A2_N(_05304_),
     .B1(\u_i2cm.scl_padoen_o ),
-    .B2(_05532_),
+    .B2(_05303_),
     .X(_02828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08653_ (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .Y(_05538_),
+ sky130_fd_sc_hd__inv_2 _08171_ (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .Y(_05305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _08654_ (.A1_N(_05538_),
+ sky130_fd_sc_hd__a2bb2o_1 _08172_ (.A1_N(_05305_),
     .A2_N(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
-    .B1(_05538_),
+    .B1(_05305_),
     .B2(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
-    .X(_05539_),
+    .X(_05306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08655_ (.A(_05539_),
-    .Y(_05540_),
+ sky130_fd_sc_hd__inv_2 _08173_ (.A(_05306_),
+    .Y(_05307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08656_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .X(_05541_),
+ sky130_fd_sc_hd__inv_2 _08174_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .Y(_05308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08657_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .Y(_05542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _08658_ (.A(_05542_),
+ sky130_fd_sc_hd__nor2_1 _08175_ (.A(_05308_),
     .B(_00279_),
-    .Y(_05543_),
+    .Y(_05309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08659_ (.A(_05541_),
-    .B(_05543_),
-    .Y(_05544_),
+ sky130_fd_sc_hd__nor2_1 _08176_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .B(_05309_),
+    .Y(_05310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _08660_ (.A(_05540_),
-    .B(_05544_),
+ sky130_fd_sc_hd__nor3_1 _08177_ (.A(_05307_),
+    .B(_05310_),
     .C(_00282_),
-    .Y(_05545_),
+    .Y(_05311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _08661_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .A2(_05543_),
-    .B1(_05539_),
-    .Y(_05546_),
+ sky130_fd_sc_hd__a21oi_1 _08178_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .A2(_05309_),
+    .B1(_05306_),
+    .Y(_05312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _08662_ (.A1(_05541_),
-    .A2(net118),
-    .A3(_05539_),
-    .B1(_05546_),
-    .X(_05547_),
+ sky130_fd_sc_hd__a31o_1 _08179_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .A2(_05309_),
+    .A3(_05306_),
+    .B1(_05312_),
+    .X(_05313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _08663_ (.A1(_05540_),
-    .A2(_05544_),
+ sky130_fd_sc_hd__o21a_1 _08180_ (.A1(_05307_),
+    .A2(_05310_),
     .B1(_00282_),
-    .X(_05548_),
+    .X(_05314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08664_ (.A(\u_usb_host.u_async_wb.PendingRd ),
-    .Y(_05549_),
+ sky130_fd_sc_hd__inv_2 _08181_ (.A(\u_usb_host.u_async_wb.PendingRd ),
+    .Y(_05315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o311a_1 _08665_ (.A1(_05545_),
-    .A2(_05547_),
-    .A3(_05548_),
-    .B1(_05549_),
+ sky130_fd_sc_hd__o311a_1 _08182_ (.A1(_05311_),
+    .A2(_05313_),
+    .A3(_05314_),
+    .B1(_05315_),
     .C1(net13),
-    .X(_05550_),
+    .X(_05316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08666_ (.A(_05550_),
+ sky130_fd_sc_hd__clkbuf_2 _08183_ (.A(_05316_),
     .X(\u_usb_host.u_async_wb.m_cmd_wr_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08667_ (.A(_05541_),
-    .Y(_05551_),
+ sky130_fd_sc_hd__inv_2 _08184_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .Y(_05317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08668_ (.A(_05550_),
-    .Y(_05552_),
+ sky130_fd_sc_hd__inv_2 _08185_ (.A(_05316_),
+    .Y(_05318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08669_ (.A(_05551_),
-    .B(_05542_),
-    .C(_05552_),
-    .X(_05553_),
+ sky130_fd_sc_hd__or3_2 _08186_ (.A(_05317_),
+    .B(_05308_),
+    .C(_05318_),
+    .X(_05319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08670_ (.A(_05553_),
-    .Y(_05554_),
+ sky130_fd_sc_hd__inv_2 _08187_ (.A(_05319_),
+    .Y(_05320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08671_ (.A(_05554_),
-    .X(_05555_),
+ sky130_fd_sc_hd__clkbuf_2 _08188_ (.A(_05320_),
+    .X(_05321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08672_ (.A(_05553_),
-    .X(_05556_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08189_ (.A(_05321_),
+    .X(_05322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08673_ (.A(_05556_),
-    .X(_05557_),
+ sky130_fd_sc_hd__clkbuf_2 _08190_ (.A(_05319_),
+    .X(_05323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08674_ (.A(_05557_),
-    .X(_05558_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08191_ (.A(_05323_),
+    .X(_05324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08675_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .A2(_05555_),
-    .B1(_05538_),
-    .B2(_05558_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08192_ (.A(_05324_),
+    .X(_05325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _08193_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .A2(_05322_),
+    .B1(_05305_),
+    .B2(_05325_),
     .X(_02827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08676_ (.A(_05552_),
-    .X(_05559_),
+ sky130_fd_sc_hd__clkbuf_1 _08194_ (.A(_05318_),
+    .X(_05326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08677_ (.A(_05559_),
-    .X(_05560_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08195_ (.A(_05326_),
+    .X(_05327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08678_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+ sky130_fd_sc_hd__o22a_1 _08196_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
     .A2(\u_usb_host.u_async_wb.m_cmd_wr_en ),
     .B1(_00124_),
-    .B2(_05560_),
+    .B2(_05327_),
     .X(_02826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08679_ (.A(_05551_),
-    .X(_05561_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08197_ (.A(_05317_),
+    .X(_05328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08680_ (.A1(_05561_),
+ sky130_fd_sc_hd__a22o_1 _08198_ (.A1(_05328_),
     .A2(\u_usb_host.u_async_wb.m_cmd_wr_en ),
     .B1(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .B2(_05560_),
+    .B2(_05327_),
     .X(_02825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08681_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
-    .X(_05562_),
+ sky130_fd_sc_hd__inv_2 _08199_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
+    .Y(_05329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08682_ (.A(_05562_),
-    .Y(_05563_),
+ sky130_fd_sc_hd__inv_2 _08200_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .Y(_05330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08683_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .Y(_05564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08684_ (.A(_05562_),
+ sky130_fd_sc_hd__nor2_1 _08201_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
     .B(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
-    .Y(_05565_),
+    .Y(_05331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _08685_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__and2_1 _08202_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
     .B(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
-    .X(_05566_),
+    .X(_05332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08686_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
-    .Y(_05567_),
+ sky130_fd_sc_hd__inv_2 _08203_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
+    .Y(_05333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08687_ (.A1(_05564_),
+ sky130_fd_sc_hd__a22o_1 _08204_ (.A1(_05330_),
     .A2(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
     .B1(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .B2(_05567_),
-    .X(_05568_),
+    .B2(_05333_),
+    .X(_05334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _08688_ (.A0(_05565_),
-    .A1(_05566_),
-    .S(_05568_),
-    .X(_05569_),
+ sky130_fd_sc_hd__mux2_1 _08205_ (.A0(_05331_),
+    .A1(_05332_),
+    .S(_05334_),
+    .X(_05335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08689_ (.A(_05564_),
-    .B(_05569_),
-    .X(_05570_),
+ sky130_fd_sc_hd__or2_1 _08206_ (.A(_05330_),
+    .B(_05335_),
+    .X(_05336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08690_ (.A(_05570_),
-    .Y(_05571_),
+ sky130_fd_sc_hd__inv_2 _08207_ (.A(_05336_),
+    .Y(_05337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08691_ (.A(_05569_),
-    .Y(_05572_),
+ sky130_fd_sc_hd__inv_2 _08208_ (.A(_05335_),
+    .Y(_05338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _08692_ (.A(_05562_),
-    .B(_05572_),
-    .C(_05564_),
-    .X(_05573_),
+ sky130_fd_sc_hd__and3_1 _08209_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
+    .B(_05338_),
+    .C(_05330_),
+    .X(_05339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _08693_ (.A1(_05563_),
-    .A2(_05571_),
+ sky130_fd_sc_hd__a221o_1 _08210_ (.A1(_05329_),
+    .A2(_05337_),
     .B1(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .B2(_05569_),
-    .C1(_05573_),
+    .B2(_05335_),
+    .C1(_05339_),
     .X(_02824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08694_ (.A1(_05563_),
-    .A2(_05569_),
+ sky130_fd_sc_hd__o22a_1 _08211_ (.A1(_05329_),
+    .A2(_05335_),
     .B1(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .B2(_05572_),
+    .B2(_05338_),
     .X(_02823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08695_ (.A1(_05563_),
-    .A2(_05571_),
-    .B1(_05562_),
-    .B2(_05570_),
+ sky130_fd_sc_hd__a22o_1 _08212_ (.A1(_05329_),
+    .A2(_05337_),
+    .B1(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
+    .B2(_05336_),
     .X(_02822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _08696_ (.A1(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .A2(_05572_),
-    .B1(_05570_),
+ sky130_fd_sc_hd__o21a_1 _08213_ (.A1(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .A2(_05338_),
+    .B1(_05336_),
     .X(_02821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08697_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .Y(_05574_),
+ sky130_fd_sc_hd__inv_2 _08214_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .Y(_05340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08698_ (.A(_00235_),
-    .Y(_05575_),
+ sky130_fd_sc_hd__inv_2 _08215_ (.A(_00235_),
+    .Y(_05341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08699_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .Y(_05576_),
+ sky130_fd_sc_hd__inv_2 _08216_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .Y(_05342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08700_ (.A(_00232_),
-    .Y(_05577_),
+ sky130_fd_sc_hd__inv_2 _08217_ (.A(_00232_),
+    .Y(_05343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08701_ (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .Y(_05578_),
+ sky130_fd_sc_hd__inv_2 _08218_ (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .Y(_05344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08702_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .Y(_05579_),
+ sky130_fd_sc_hd__inv_2 _08219_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .Y(_05345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08703_ (.A1(_05578_),
+ sky130_fd_sc_hd__o22a_1 _08220_ (.A1(_05344_),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
     .B1(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .B2(_05579_),
-    .X(_05580_),
+    .B2(_05345_),
+    .X(_05346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _08704_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .A2(_05577_),
+ sky130_fd_sc_hd__o221a_1 _08221_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .A2(_05343_),
     .B1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .B2(_00235_),
-    .C1(_05580_),
-    .X(_05581_),
+    .C1(_05346_),
+    .X(_05347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _08705_ (.A1(_05574_),
-    .A2(_05575_),
-    .B1(_05576_),
+ sky130_fd_sc_hd__o221a_1 _08222_ (.A1(_05340_),
+    .A2(_05341_),
+    .B1(_05342_),
     .B2(_00232_),
-    .C1(_05581_),
-    .X(_05582_),
+    .C1(_05347_),
+    .X(_05348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08706_ (.A(_05582_),
-    .X(_05583_),
+ sky130_fd_sc_hd__clkbuf_2 _08223_ (.A(_05348_),
+    .X(_05349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08707_ (.A(_00801_),
-    .Y(_05584_),
+ sky130_fd_sc_hd__inv_2 _08224_ (.A(_00801_),
+    .Y(_05350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08708_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
-    .Y(_05585_),
+ sky130_fd_sc_hd__inv_2 _08225_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .Y(_05351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08709_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
-    .Y(_05586_),
+ sky130_fd_sc_hd__inv_2 _08226_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
+    .Y(_05352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08710_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
-    .X(_05587_),
+ sky130_fd_sc_hd__inv_2 _08227_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
+    .Y(_05353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08711_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
-    .Y(_05588_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08228_ (.A(_05353_),
+    .X(_05354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08712_ (.A(_05588_),
-    .X(_05589_),
+ sky130_fd_sc_hd__a221o_1 _08229_ (.A1(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
+    .A2(_05351_),
+    .B1(_05352_),
+    .B2(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .C1(_05354_),
+    .X(_05355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _08713_ (.A1(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
-    .A2(_05585_),
-    .B1(_05586_),
-    .B2(_05587_),
-    .C1(_05589_),
-    .X(_05590_),
+ sky130_fd_sc_hd__o221a_1 _08230_ (.A1(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .B1(_05352_),
+    .B2(_05351_),
+    .C1(_05353_),
+    .X(_05356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _08714_ (.A1(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
-    .A2(_05587_),
-    .B1(_05586_),
-    .B2(_05585_),
-    .C1(_05588_),
-    .X(_05591_),
+ sky130_fd_sc_hd__inv_2 _08231_ (.A(_05356_),
+    .Y(_05357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08715_ (.A(_05591_),
-    .Y(_05592_),
+ sky130_fd_sc_hd__inv_2 _08232_ (.A(\u_usb_host.reg_ack ),
+    .Y(_05358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08716_ (.A(\u_usb_host.reg_ack ),
-    .X(_05593_),
+ sky130_fd_sc_hd__a31o_1 _08233_ (.A1(_05350_),
+    .A2(_05355_),
+    .A3(_05357_),
+    .B1(_05358_),
+    .X(_05359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08717_ (.A(_05593_),
-    .Y(_05594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _08718_ (.A1(_05584_),
-    .A2(_05590_),
-    .A3(_05592_),
-    .B1(_05594_),
-    .X(_05595_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _08719_ (.A(\u_usb_host.u_async_wb.wbs_ack_f ),
-    .B(_05583_),
+ sky130_fd_sc_hd__or4_1 _08234_ (.A(\u_usb_host.u_async_wb.wbs_ack_f ),
+    .B(_05349_),
     .C(_00236_),
-    .D(_05595_),
-    .X(_05596_),
+    .D(_05359_),
+    .X(_05360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08720_ (.A(_05596_),
-    .X(_05597_),
+ sky130_fd_sc_hd__clkbuf_2 _08235_ (.A(_05360_),
+    .X(_05361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08721_ (.A(net182),
-    .X(_05598_),
+ sky130_fd_sc_hd__clkbuf_1 _08236_ (.A(_05361_),
+    .X(_05362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08722_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
-    .Y(_05599_),
+ sky130_fd_sc_hd__inv_2 _08237_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
+    .Y(_05363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08723_ (.A1(_05599_),
+ sky130_fd_sc_hd__o22a_1 _08238_ (.A1(_05363_),
     .A2(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
     .B1(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
-    .B2(_05589_),
-    .X(_05600_),
+    .B2(_05354_),
+    .X(_05364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _08724_ (.A1_N(_05598_),
-    .A2_N(_05600_),
+ sky130_fd_sc_hd__a2bb2o_1 _08239_ (.A1_N(_05362_),
+    .A2_N(_05364_),
     .B1(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
-    .B2(_05598_),
+    .B2(_05362_),
     .X(_02820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08725_ (.A(_05589_),
-    .B(net183),
-    .X(_05601_),
+ sky130_fd_sc_hd__or2_2 _08240_ (.A(_05354_),
+    .B(_05360_),
+    .X(_05365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08726_ (.A(_05601_),
-    .Y(_05602_),
+ sky130_fd_sc_hd__inv_2 _08241_ (.A(_05365_),
+    .Y(_05366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08727_ (.A(_05602_),
-    .X(_05603_),
+ sky130_fd_sc_hd__buf_2 _08242_ (.A(_05366_),
+    .X(_05367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _08728_ (.A1(_05589_),
-    .A2(_05598_),
-    .B1(_05603_),
+ sky130_fd_sc_hd__a21oi_1 _08243_ (.A1(_05354_),
+    .A2(_05361_),
+    .B1(_05367_),
     .Y(_02819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08729_ (.A(net35),
-    .X(_05604_),
+ sky130_fd_sc_hd__clkinv_2 _08244_ (.A(\u_i2cm.core_en ),
+    .Y(_05368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08730_ (.A(\u_i2cm.core_en ),
-    .Y(_05605_),
+ sky130_fd_sc_hd__inv_2 _08245_ (.A(net10),
+    .Y(_05369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08731_ (.A(net9),
-    .X(_05606_),
+ sky130_fd_sc_hd__clkbuf_1 _08246_ (.A(_05369_),
+    .X(_05370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08732_ (.A(net8),
-    .X(_05607_),
+ sky130_fd_sc_hd__or3_1 _08247_ (.A(net9),
+    .B(net8),
+    .C(_05370_),
+    .X(_05371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08733_ (.A(net10),
-    .Y(_05608_),
+ sky130_fd_sc_hd__nor2_1 _08248_ (.A(_05368_),
+    .B(_05371_),
+    .Y(_05372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08734_ (.A(_05608_),
-    .X(_05609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _08735_ (.A(_05606_),
-    .B(_05607_),
-    .C(_05609_),
-    .X(_05610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08736_ (.A(_05605_),
-    .B(_05610_),
-    .Y(_05611_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_2 _08737_ (.A(net114),
+ sky130_fd_sc_hd__nand2_1 _08249_ (.A(net138),
     .B(\u_i2cm.wb_ack_o ),
-    .Y(_05612_),
+    .Y(_05373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08738_ (.A(_05612_),
-    .X(_05613_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08250_ (.A(_05373_),
+    .X(_05374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08739_ (.A(_05613_),
-    .Y(_05614_),
+ sky130_fd_sc_hd__inv_2 _08251_ (.A(_05374_),
+    .Y(_05375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _08740_ (.A(_05611_),
-    .B(_05614_),
-    .Y(_05615_),
+ sky130_fd_sc_hd__nand2_1 _08252_ (.A(_05372_),
+    .B(_05375_),
+    .Y(_05376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _08741_ (.A0(_05604_),
+ sky130_fd_sc_hd__mux2_1 _08253_ (.A0(net35),
     .A1(\u_i2cm.ack ),
-    .S(_05615_),
+    .S(_05376_),
+    .X(_05377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _08254_ (.A(_05377_),
     .X(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08742_ (.A(_05606_),
-    .X(_05616_),
+ sky130_fd_sc_hd__inv_2 _08255_ (.A(net8),
+    .Y(_05378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08743_ (.A(net8),
-    .Y(_05617_),
+ sky130_fd_sc_hd__clkbuf_1 _08256_ (.A(_05378_),
+    .X(_05379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08744_ (.A(_05617_),
-    .X(_05618_),
+ sky130_fd_sc_hd__or3_2 _08257_ (.A(net9),
+    .B(_05379_),
+    .C(net10),
+    .X(_05380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08745_ (.A(net10),
-    .X(_05619_),
+ sky130_fd_sc_hd__or2_2 _08258_ (.A(_05374_),
+    .B(_05380_),
+    .X(_05381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08746_ (.A(_05619_),
-    .X(_05620_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08259_ (.A(_05381_),
+    .X(_05382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08747_ (.A(_05616_),
-    .B(_05618_),
-    .C(_05620_),
-    .X(_05621_),
+ sky130_fd_sc_hd__inv_2 _08260_ (.A(_05381_),
+    .Y(_05383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _08748_ (.A(_05613_),
-    .B(_05621_),
-    .X(_05622_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08261_ (.A(_05383_),
+    .X(_05384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08749_ (.A(_05622_),
-    .X(_05623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08750_ (.A(net39),
-    .X(_05624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08751_ (.A(_05624_),
-    .X(_05625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08752_ (.A(_05622_),
-    .Y(_05626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08753_ (.A(_05626_),
-    .X(_05627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08754_ (.A1(\u_i2cm.prer[15] ),
-    .A2(_05623_),
-    .B1(_05625_),
-    .B2(_05627_),
+ sky130_fd_sc_hd__a22o_1 _08262_ (.A1(\u_i2cm.prer[15] ),
+    .A2(_05382_),
+    .B1(net39),
+    .B2(_05384_),
     .X(_02817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08755_ (.A(net38),
-    .X(_05628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08756_ (.A(_05628_),
-    .X(_05629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08757_ (.A1(\u_i2cm.prer[14] ),
-    .A2(_05623_),
-    .B1(_05629_),
-    .B2(_05627_),
+ sky130_fd_sc_hd__a22o_1 _08263_ (.A1(\u_i2cm.prer[14] ),
+    .A2(_05382_),
+    .B1(net38),
+    .B2(_05384_),
     .X(_02816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _08758_ (.A(net37),
-    .X(_05630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08759_ (.A(_05630_),
-    .X(_05631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08760_ (.A1(\u_i2cm.prer[13] ),
-    .A2(_05623_),
-    .B1(_05631_),
-    .B2(_05627_),
+ sky130_fd_sc_hd__a22o_1 _08264_ (.A1(\u_i2cm.prer[13] ),
+    .A2(_05382_),
+    .B1(net37),
+    .B2(_05384_),
     .X(_02815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08761_ (.A(net36),
-    .X(_05632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08762_ (.A(_05632_),
-    .X(_05633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08763_ (.A1(\u_i2cm.prer[12] ),
-    .A2(_05623_),
-    .B1(_05633_),
-    .B2(_05627_),
+ sky130_fd_sc_hd__a22o_1 _08265_ (.A1(\u_i2cm.prer[12] ),
+    .A2(_05382_),
+    .B1(net36),
+    .B2(_05384_),
     .X(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08764_ (.A(_05622_),
-    .X(_05634_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08266_ (.A(_05381_),
+    .X(_05385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08765_ (.A(net35),
-    .X(_05635_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08267_ (.A(_05383_),
+    .X(_05386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08766_ (.A(_05635_),
-    .X(_05636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08767_ (.A(_05626_),
-    .X(_05637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08768_ (.A1(\u_i2cm.prer[11] ),
-    .A2(_05634_),
-    .B1(_05636_),
-    .B2(_05637_),
+ sky130_fd_sc_hd__a22o_1 _08268_ (.A1(\u_i2cm.prer[11] ),
+    .A2(_05385_),
+    .B1(net35),
+    .B2(_05386_),
     .X(_02813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _08769_ (.A(net32),
-    .X(_05638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08770_ (.A(_05638_),
-    .X(_05639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08771_ (.A1(\u_i2cm.prer[10] ),
-    .A2(_05634_),
-    .B1(_05639_),
-    .B2(_05637_),
+ sky130_fd_sc_hd__a22o_1 _08269_ (.A1(\u_i2cm.prer[10] ),
+    .A2(_05385_),
+    .B1(net32),
+    .B2(_05386_),
     .X(_02812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _08772_ (.A(net25),
-    .X(_05640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08773_ (.A(_05640_),
-    .X(_05641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08774_ (.A1(\u_i2cm.prer[9] ),
-    .A2(_05634_),
-    .B1(_05641_),
-    .B2(_05637_),
+ sky130_fd_sc_hd__a22o_1 _08270_ (.A1(\u_i2cm.prer[9] ),
+    .A2(_05385_),
+    .B1(net25),
+    .B2(_05386_),
     .X(_02811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _08775_ (.A(net14),
-    .X(_05642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08776_ (.A(_05642_),
-    .X(_05643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08777_ (.A1(\u_i2cm.prer[8] ),
-    .A2(_05634_),
-    .B1(_05643_),
-    .B2(_05637_),
+ sky130_fd_sc_hd__a22o_1 _08271_ (.A1(\u_i2cm.prer[8] ),
+    .A2(_05385_),
+    .B1(net14),
+    .B2(_05386_),
     .X(_02810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08778_ (.A(_05607_),
-    .X(_05644_),
+ sky130_fd_sc_hd__or3_4 _08272_ (.A(net9),
+    .B(net8),
+    .C(net10),
+    .X(_05387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08779_ (.A(_05606_),
-    .B(_05644_),
-    .C(_05619_),
-    .X(_05645_),
+ sky130_fd_sc_hd__or2_2 _08273_ (.A(_05374_),
+    .B(_05387_),
+    .X(_05388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _08780_ (.A(_05613_),
-    .B(_05645_),
-    .X(_05646_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08274_ (.A(_05388_),
+    .X(_05389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08781_ (.A(_05646_),
-    .X(_05647_),
+ sky130_fd_sc_hd__inv_2 _08275_ (.A(_05388_),
+    .Y(_05390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08782_ (.A(_05646_),
-    .Y(_05648_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08276_ (.A(_05390_),
+    .X(_05391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08783_ (.A(_05648_),
-    .X(_05649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08784_ (.A1(\u_i2cm.prer[7] ),
-    .A2(_05647_),
-    .B1(_05625_),
-    .B2(_05649_),
+ sky130_fd_sc_hd__a22o_1 _08277_ (.A1(\u_i2cm.prer[7] ),
+    .A2(_05389_),
+    .B1(net39),
+    .B2(_05391_),
     .X(_02809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08785_ (.A1(\u_i2cm.prer[6] ),
-    .A2(_05647_),
-    .B1(_05629_),
-    .B2(_05649_),
+ sky130_fd_sc_hd__a22o_1 _08278_ (.A1(\u_i2cm.prer[6] ),
+    .A2(_05389_),
+    .B1(net38),
+    .B2(_05391_),
     .X(_02808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08786_ (.A1(\u_i2cm.prer[5] ),
-    .A2(_05647_),
-    .B1(_05631_),
-    .B2(_05649_),
+ sky130_fd_sc_hd__a22o_1 _08279_ (.A1(\u_i2cm.prer[5] ),
+    .A2(_05389_),
+    .B1(net37),
+    .B2(_05391_),
     .X(_02807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08787_ (.A1(\u_i2cm.prer[4] ),
-    .A2(_05647_),
-    .B1(_05633_),
-    .B2(_05649_),
+ sky130_fd_sc_hd__a22o_1 _08280_ (.A1(\u_i2cm.prer[4] ),
+    .A2(_05389_),
+    .B1(net36),
+    .B2(_05391_),
     .X(_02806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08788_ (.A(_05646_),
-    .X(_05650_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08281_ (.A(_05388_),
+    .X(_05392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08789_ (.A(_05648_),
-    .X(_05651_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08282_ (.A(_05390_),
+    .X(_05393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08790_ (.A1(\u_i2cm.prer[3] ),
-    .A2(_05650_),
-    .B1(_05636_),
-    .B2(_05651_),
+ sky130_fd_sc_hd__a22o_1 _08283_ (.A1(\u_i2cm.prer[3] ),
+    .A2(_05392_),
+    .B1(net35),
+    .B2(_05393_),
     .X(_02805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08791_ (.A1(\u_i2cm.prer[2] ),
-    .A2(_05650_),
-    .B1(_05639_),
-    .B2(_05651_),
+ sky130_fd_sc_hd__a22o_1 _08284_ (.A1(\u_i2cm.prer[2] ),
+    .A2(_05392_),
+    .B1(net32),
+    .B2(_05393_),
     .X(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08792_ (.A1(\u_i2cm.prer[1] ),
-    .A2(_05650_),
-    .B1(_05641_),
-    .B2(_05651_),
+ sky130_fd_sc_hd__a22o_1 _08285_ (.A1(\u_i2cm.prer[1] ),
+    .A2(_05392_),
+    .B1(net25),
+    .B2(_05393_),
     .X(_02803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08793_ (.A1(\u_i2cm.prer[0] ),
-    .A2(_05650_),
-    .B1(_05643_),
-    .B2(_05651_),
+ sky130_fd_sc_hd__a22o_1 _08286_ (.A1(\u_i2cm.prer[0] ),
+    .A2(_05392_),
+    .B1(net14),
+    .B2(_05393_),
     .X(_02802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08794_ (.A(\u_i2cm.core_en ),
-    .X(_05652_),
+ sky130_fd_sc_hd__inv_2 _08287_ (.A(net9),
+    .Y(_05394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08795_ (.A(_05606_),
-    .Y(_05653_),
+ sky130_fd_sc_hd__clkbuf_1 _08288_ (.A(_05394_),
+    .X(_05395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _08796_ (.A(_05653_),
-    .B(_05607_),
-    .C(_05619_),
-    .X(_05654_),
+ sky130_fd_sc_hd__or3_1 _08289_ (.A(_05395_),
+    .B(net8),
+    .C(net10),
+    .X(_05396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08797_ (.A(_05612_),
-    .B(_05654_),
-    .X(_05655_),
+ sky130_fd_sc_hd__or2_1 _08290_ (.A(_05373_),
+    .B(_05396_),
+    .X(_05397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08798_ (.A(_05655_),
-    .X(_05656_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08291_ (.A(_05397_),
+    .X(_05398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08799_ (.A(_05655_),
-    .Y(_05657_),
+ sky130_fd_sc_hd__inv_2 _08292_ (.A(_05397_),
+    .Y(_05399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08800_ (.A(_05657_),
-    .X(_05658_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08293_ (.A(_05399_),
+    .X(_05400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08801_ (.A1(_05652_),
-    .A2(_05656_),
-    .B1(_05625_),
-    .B2(_05658_),
+ sky130_fd_sc_hd__a22o_1 _08294_ (.A1(\u_i2cm.core_en ),
+    .A2(_05398_),
+    .B1(net39),
+    .B2(_05400_),
     .X(_02801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08802_ (.A1(\u_i2cm.ctr[6] ),
-    .A2(_05656_),
-    .B1(_05629_),
-    .B2(_05658_),
+ sky130_fd_sc_hd__a22o_1 _08295_ (.A1(\u_i2cm.ctr[6] ),
+    .A2(_05398_),
+    .B1(net38),
+    .B2(_05400_),
     .X(_02800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08803_ (.A1(\u_i2cm.ctr[5] ),
-    .A2(_05656_),
-    .B1(_05631_),
-    .B2(_05658_),
+ sky130_fd_sc_hd__a22o_1 _08296_ (.A1(\u_i2cm.ctr[5] ),
+    .A2(_05398_),
+    .B1(net37),
+    .B2(_05400_),
     .X(_02799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08804_ (.A1(\u_i2cm.ctr[4] ),
-    .A2(_05656_),
-    .B1(_05633_),
-    .B2(_05658_),
+ sky130_fd_sc_hd__a22o_1 _08297_ (.A1(\u_i2cm.ctr[4] ),
+    .A2(_05398_),
+    .B1(net36),
+    .B2(_05400_),
     .X(_02798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08805_ (.A(_05655_),
-    .X(_05659_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08298_ (.A(_05397_),
+    .X(_05401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08806_ (.A(_05657_),
-    .X(_05660_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08299_ (.A(_05399_),
+    .X(_05402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08807_ (.A1(\u_i2cm.ctr[3] ),
-    .A2(_05659_),
-    .B1(_05636_),
-    .B2(_05660_),
+ sky130_fd_sc_hd__a22o_1 _08300_ (.A1(\u_i2cm.ctr[3] ),
+    .A2(_05401_),
+    .B1(net35),
+    .B2(_05402_),
     .X(_02797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08808_ (.A1(\u_i2cm.ctr[2] ),
-    .A2(_05659_),
-    .B1(_05639_),
-    .B2(_05660_),
+ sky130_fd_sc_hd__a22o_1 _08301_ (.A1(\u_i2cm.ctr[2] ),
+    .A2(_05401_),
+    .B1(net32),
+    .B2(_05402_),
     .X(_02796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08809_ (.A1(\u_i2cm.ctr[1] ),
-    .A2(_05659_),
-    .B1(_05641_),
-    .B2(_05660_),
+ sky130_fd_sc_hd__a22o_1 _08302_ (.A1(\u_i2cm.ctr[1] ),
+    .A2(_05401_),
+    .B1(net25),
+    .B2(_05402_),
     .X(_02795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08810_ (.A1(\u_i2cm.ctr[0] ),
-    .A2(_05659_),
-    .B1(_05643_),
-    .B2(_05660_),
+ sky130_fd_sc_hd__a22o_1 _08303_ (.A1(\u_i2cm.ctr[0] ),
+    .A2(_05401_),
+    .B1(net14),
+    .B2(_05402_),
     .X(_02794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08811_ (.A(_05653_),
-    .X(_05661_),
+ sky130_fd_sc_hd__or3_1 _08304_ (.A(_05395_),
+    .B(_05379_),
+    .C(net10),
+    .X(_05403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _08812_ (.A(_05661_),
-    .B(_05618_),
-    .C(_05619_),
-    .X(_05662_),
+ sky130_fd_sc_hd__or2_1 _08305_ (.A(_05373_),
+    .B(_05403_),
+    .X(_05404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08813_ (.A(_05612_),
-    .B(_05662_),
-    .X(_05663_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08306_ (.A(_05404_),
+    .X(_05405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08814_ (.A(_05663_),
-    .X(_05664_),
+ sky130_fd_sc_hd__inv_2 _08307_ (.A(_05404_),
+    .Y(_05406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08815_ (.A(_05663_),
-    .Y(_05665_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08308_ (.A(_05406_),
+    .X(_05407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08816_ (.A(_05665_),
-    .X(_05666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08817_ (.A1(\u_i2cm.txr[7] ),
-    .A2(_05664_),
-    .B1(_05625_),
-    .B2(_05666_),
+ sky130_fd_sc_hd__a22o_1 _08309_ (.A1(\u_i2cm.txr[7] ),
+    .A2(_05405_),
+    .B1(net39),
+    .B2(_05407_),
     .X(_02793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08818_ (.A1(\u_i2cm.txr[6] ),
-    .A2(_05664_),
-    .B1(_05629_),
-    .B2(_05666_),
+ sky130_fd_sc_hd__a22o_1 _08310_ (.A1(\u_i2cm.txr[6] ),
+    .A2(_05405_),
+    .B1(net38),
+    .B2(_05407_),
     .X(_02792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08819_ (.A1(\u_i2cm.txr[5] ),
-    .A2(_05664_),
-    .B1(_05631_),
-    .B2(_05666_),
+ sky130_fd_sc_hd__a22o_1 _08311_ (.A1(\u_i2cm.txr[5] ),
+    .A2(_05405_),
+    .B1(net37),
+    .B2(_05407_),
     .X(_02791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08820_ (.A1(\u_i2cm.txr[4] ),
-    .A2(_05664_),
-    .B1(_05633_),
-    .B2(_05666_),
+ sky130_fd_sc_hd__a22o_1 _08312_ (.A1(\u_i2cm.txr[4] ),
+    .A2(_05405_),
+    .B1(net36),
+    .B2(_05407_),
     .X(_02790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08821_ (.A(_05663_),
-    .X(_05667_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08313_ (.A(_05404_),
+    .X(_05408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08822_ (.A(_05665_),
-    .X(_05668_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08314_ (.A(_05406_),
+    .X(_05409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08823_ (.A1(\u_i2cm.txr[3] ),
-    .A2(_05667_),
-    .B1(_05636_),
-    .B2(_05668_),
+ sky130_fd_sc_hd__a22o_1 _08315_ (.A1(\u_i2cm.txr[3] ),
+    .A2(_05408_),
+    .B1(net35),
+    .B2(_05409_),
     .X(_02789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08824_ (.A1(\u_i2cm.txr[2] ),
-    .A2(_05667_),
-    .B1(_05639_),
-    .B2(_05668_),
+ sky130_fd_sc_hd__a22o_1 _08316_ (.A1(\u_i2cm.txr[2] ),
+    .A2(_05408_),
+    .B1(net32),
+    .B2(_05409_),
     .X(_02788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08825_ (.A1(\u_i2cm.txr[1] ),
-    .A2(_05667_),
-    .B1(_05641_),
-    .B2(_05668_),
+ sky130_fd_sc_hd__a22o_1 _08317_ (.A1(\u_i2cm.txr[1] ),
+    .A2(_05408_),
+    .B1(net25),
+    .B2(_05409_),
     .X(_02787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08826_ (.A1(\u_i2cm.txr[0] ),
-    .A2(_05667_),
-    .B1(_05643_),
-    .B2(_05668_),
+ sky130_fd_sc_hd__a22o_1 _08318_ (.A1(\u_i2cm.txr[0] ),
+    .A2(_05408_),
+    .B1(net14),
+    .B2(_05409_),
     .X(_02786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08827_ (.A(_05533_),
-    .Y(_05669_),
+ sky130_fd_sc_hd__inv_2 _08319_ (.A(\u_i2cm.i2c_al ),
+    .Y(_05410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08828_ (.A(_05669_),
-    .X(_05670_),
+ sky130_fd_sc_hd__clkbuf_1 _08320_ (.A(_05410_),
+    .X(_05411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08829_ (.A(\u_i2cm.u_byte_ctrl.core_ack ),
-    .X(_05671_),
+ sky130_fd_sc_hd__clkbuf_2 _08321_ (.A(_05411_),
+    .X(_05412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08830_ (.A(\u_i2cm.u_byte_ctrl.c_state[2] ),
-    .Y(_05672_),
+ sky130_fd_sc_hd__inv_2 _08322_ (.A(\u_i2cm.u_byte_ctrl.c_state[2] ),
+    .Y(_05413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08831_ (.A(_05672_),
-    .X(_05673_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08323_ (.A(_05413_),
+    .X(_05414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08832_ (.A(\u_i2cm.u_byte_ctrl.c_state[2] ),
-    .X(_05674_),
+ sky130_fd_sc_hd__o32a_1 _08324_ (.A1(\u_i2cm.i2c_al ),
+    .A2(\u_i2cm.u_byte_ctrl.core_ack ),
+    .A3(_05414_),
+    .B1(\u_i2cm.i2c_al ),
+    .B2(\u_i2cm.u_byte_ctrl.c_state[2] ),
+    .X(_05415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _08833_ (.A1(_05534_),
-    .A2(_05671_),
-    .A3(_05673_),
-    .B1(_05534_),
-    .B2(_05674_),
-    .X(_05675_),
+ sky130_fd_sc_hd__inv_2 _08325_ (.A(_05415_),
+    .Y(_05416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08834_ (.A(_05675_),
-    .Y(_05676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _08835_ (.A1(\u_i2cm.u_byte_ctrl.core_rxd ),
-    .A2(_05670_),
-    .A3(_05675_),
+ sky130_fd_sc_hd__a32o_1 _08326_ (.A1(\u_i2cm.u_byte_ctrl.core_rxd ),
+    .A2(_05412_),
+    .A3(_05415_),
     .B1(\u_i2cm.irxack ),
-    .B2(_05676_),
+    .B2(_05416_),
     .X(_02785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08836_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
-    .X(_05677_),
+ sky130_fd_sc_hd__inv_2 _08327_ (.A(\u_i2cm.u_byte_ctrl.shift ),
+    .Y(_05417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08837_ (.A(\u_i2cm.u_byte_ctrl.shift ),
-    .Y(_05678_),
+ sky130_fd_sc_hd__or3_1 _08328_ (.A(\u_i2cm.u_byte_ctrl.dcnt[1] ),
+    .B(\u_i2cm.u_byte_ctrl.dcnt[0] ),
+    .C(_05417_),
+    .X(_05418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08838_ (.A(\u_i2cm.u_byte_ctrl.dcnt[1] ),
-    .B(_05677_),
-    .C(_05678_),
-    .X(_05679_),
+ sky130_fd_sc_hd__inv_2 _08329_ (.A(\u_i2cm.u_byte_ctrl.dcnt[2] ),
+    .Y(_05419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08839_ (.A(\u_i2cm.u_byte_ctrl.dcnt[2] ),
-    .Y(_05680_),
+ sky130_fd_sc_hd__inv_2 _08330_ (.A(_05418_),
+    .Y(_05420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08840_ (.A(_05679_),
-    .Y(_05681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _08841_ (.A1(\u_i2cm.u_byte_ctrl.dcnt[2] ),
-    .A2(_05679_),
-    .B1(_05680_),
-    .B2(_05681_),
+ sky130_fd_sc_hd__a221o_1 _08331_ (.A1(\u_i2cm.u_byte_ctrl.dcnt[2] ),
+    .A2(_05418_),
+    .B1(_05419_),
+    .B2(_05420_),
     .C1(\u_i2cm.u_byte_ctrl.ld ),
     .X(_02784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08842_ (.A(_05677_),
-    .B(_05461_),
-    .X(_05682_),
+ sky130_fd_sc_hd__or2_1 _08332_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
+    .B(_05234_),
+    .X(_05421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_1 _08843_ (.A1(\u_i2cm.u_byte_ctrl.dcnt[1] ),
-    .A2(_05682_),
+ sky130_fd_sc_hd__a211o_1 _08333_ (.A1(\u_i2cm.u_byte_ctrl.dcnt[1] ),
+    .A2(_05421_),
     .B1(\u_i2cm.u_byte_ctrl.ld ),
-    .C1(_05681_),
+    .C1(_05420_),
     .X(_02783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08844_ (.A(_05677_),
-    .Y(_05683_),
+ sky130_fd_sc_hd__inv_2 _08334_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
+    .Y(_05422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08845_ (.A1(_05677_),
+ sky130_fd_sc_hd__o22a_1 _08335_ (.A1(\u_i2cm.u_byte_ctrl.dcnt[0] ),
     .A2(\u_i2cm.u_byte_ctrl.shift ),
-    .B1(_05683_),
-    .B2(_05678_),
-    .X(_05684_),
+    .B1(_05422_),
+    .B2(_05417_),
+    .X(_05423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08846_ (.A(\u_i2cm.u_byte_ctrl.ld ),
-    .B(_05684_),
+ sky130_fd_sc_hd__or2_1 _08336_ (.A(\u_i2cm.u_byte_ctrl.ld ),
+    .B(_05423_),
+    .X(_05424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _08337_ (.A(_05424_),
     .X(_02782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08847_ (.A(_05594_),
-    .X(_05685_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08338_ (.A(_05358_),
+    .X(_05425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08848_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .A2(_05593_),
+ sky130_fd_sc_hd__o22a_1 _08339_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
+    .A2(\u_usb_host.reg_ack ),
     .B1(_00125_),
-    .B2(_05685_),
+    .B2(_05425_),
     .X(_02781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08849_ (.A1(_05685_),
+ sky130_fd_sc_hd__a22o_1 _08340_ (.A1(_05425_),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
-    .B1(_05593_),
-    .B2(_05574_),
+    .B1(\u_usb_host.reg_ack ),
+    .B2(_05340_),
     .X(_02780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08850_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_05686_),
+ sky130_fd_sc_hd__clkbuf_1 _08341_ (.A(_05342_),
+    .X(_05426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08851_ (.A(_05576_),
-    .X(_05687_),
+ sky130_fd_sc_hd__nor2_1 _08342_ (.A(_05425_),
+    .B(_05426_),
+    .Y(_05427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08852_ (.A(_05685_),
-    .B(_05687_),
-    .Y(_05688_),
+ sky130_fd_sc_hd__nand2_1 _08343_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .B(_05427_),
+    .Y(_05428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _08853_ (.A(_05686_),
-    .B(_05688_),
-    .Y(_05689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _08854_ (.A1(_05686_),
-    .A2(_05688_),
-    .A3(_05578_),
+ sky130_fd_sc_hd__a32o_1 _08344_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .A2(_05427_),
+    .A3(_05344_),
     .B1(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .B2(_05689_),
+    .B2(_05428_),
     .X(_02779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _08855_ (.A1(_05686_),
-    .A2(_05688_),
-    .B1(_05689_),
+ sky130_fd_sc_hd__o21a_1 _08345_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .A2(_05427_),
+    .B1(_05428_),
     .X(_02778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _08856_ (.A1(_05685_),
-    .A2(_05687_),
-    .B1(_05688_),
+ sky130_fd_sc_hd__a21oi_1 _08346_ (.A1(_05425_),
+    .A2(_05426_),
+    .B1(_05427_),
     .Y(_02777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08857_ (.A(_05554_),
-    .X(_05690_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08347_ (.A(_05322_),
+    .X(_05429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08858_ (.A(_05690_),
-    .X(_05691_),
+ sky130_fd_sc_hd__clkbuf_1 _08348_ (.A(_05308_),
+    .X(_05430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08859_ (.A(_05542_),
-    .X(_05692_),
+ sky130_fd_sc_hd__o21a_1 _08349_ (.A1(_05430_),
+    .A2(_05326_),
+    .B1(_05328_),
+    .X(_05431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _08860_ (.A1(_05692_),
-    .A2(_05559_),
-    .B1(_05561_),
-    .X(_05693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _08861_ (.A(_05691_),
-    .B(_05693_),
+ sky130_fd_sc_hd__nor2_1 _08350_ (.A(_05429_),
+    .B(_05431_),
     .Y(_02776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08862_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .X(_05694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08863_ (.A1(_05692_),
-    .A2(_05560_),
-    .B1(_05694_),
+ sky130_fd_sc_hd__o22a_1 _08351_ (.A1(_05430_),
+    .A2(_05327_),
+    .B1(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
     .B2(\u_usb_host.u_async_wb.m_cmd_wr_en ),
     .X(_02775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _08864_ (.A(\u_usb_host.u_core.cfg_wr ),
-    .X(_05695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _08865_ (.A(\u_usb_host.u_async_wb.wbs_ack_f ),
-    .B(_05583_),
-    .C(_05593_),
+ sky130_fd_sc_hd__nor3_1 _08352_ (.A(\u_usb_host.u_async_wb.wbs_ack_f ),
+    .B(_05349_),
+    .C(\u_usb_host.reg_ack ),
     .Y(_00127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _08866_ (.A1(_05695_),
-    .A2(net184),
+ sky130_fd_sc_hd__o21a_1 _08353_ (.A1(\u_usb_host.u_core.cfg_wr ),
+    .A2(_00236_),
     .B1(_00127_),
     .X(_02774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08867_ (.A(_05522_),
-    .B(_05495_),
-    .C(_05514_),
-    .X(_05696_),
+ sky130_fd_sc_hd__or3_1 _08354_ (.A(_05293_),
+    .B(_05266_),
+    .C(_05285_),
+    .X(_05432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08868_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
-    .Y(_05697_),
+ sky130_fd_sc_hd__inv_2 _08355_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
+    .Y(_05433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _08869_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
-    .B(_05697_),
-    .C(_05507_),
-    .Y(_05698_),
+ sky130_fd_sc_hd__nor3_1 _08356_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
+    .B(_05433_),
+    .C(_05278_),
+    .Y(_05434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4b_1 _08870_ (.A(_05696_),
-    .B(_05698_),
-    .C(_05527_),
-    .D_N(_05508_),
-    .X(_05699_),
+ sky130_fd_sc_hd__or4b_1 _08357_ (.A(_05432_),
+    .B(_05434_),
+    .C(_05298_),
+    .D_N(_05279_),
+    .X(_05435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08871_ (.A(_05699_),
-    .Y(_05700_),
+ sky130_fd_sc_hd__inv_2 _08358_ (.A(_05435_),
+    .Y(_05436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _08872_ (.A1(_05531_),
-    .A2(_05700_),
-    .B1(_05532_),
-    .Y(_05701_),
+ sky130_fd_sc_hd__o21ai_1 _08359_ (.A1(_05302_),
+    .A2(_05436_),
+    .B1(_05303_),
+    .Y(_05437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08873_ (.A(_05701_),
-    .Y(_05702_),
+ sky130_fd_sc_hd__inv_2 _08360_ (.A(_05437_),
+    .Y(_05438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31a_1 _08874_ (.A1(_05525_),
-    .A2(_05517_),
-    .A3(_05492_),
+ sky130_fd_sc_hd__o31a_1 _08361_ (.A1(_05296_),
+    .A2(_05288_),
+    .A3(_05263_),
     .B1(\u_i2cm.u_byte_ctrl.core_txd ),
-    .X(_05703_),
+    .X(_05439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08875_ (.A(_05535_),
-    .B(_05699_),
-    .C(_05703_),
-    .X(_05704_),
+ sky130_fd_sc_hd__or3_1 _08362_ (.A(\u_i2cm.i2c_al ),
+    .B(_05435_),
+    .C(_05439_),
+    .X(_05440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _08876_ (.A1(\u_i2cm.sda_padoen_o ),
-    .A2(_05701_),
-    .B1(_05702_),
-    .B2(_05704_),
+ sky130_fd_sc_hd__o22a_1 _08363_ (.A1(\u_i2cm.sda_padoen_o ),
+    .A2(_05437_),
+    .B1(_05438_),
+    .B2(_05440_),
     .X(_02773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08877_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sda_chk ),
-    .Y(_05705_),
+ sky130_fd_sc_hd__inv_2 _08364_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sda_chk ),
+    .Y(_05441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08878_ (.A(_05470_),
-    .B(_05481_),
-    .X(_05706_),
+ sky130_fd_sc_hd__or2_1 _08365_ (.A(_05243_),
+    .B(_05252_),
+    .X(_05442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _08879_ (.A(_05505_),
-    .B(_05706_),
-    .Y(_05707_),
+ sky130_fd_sc_hd__nand2_1 _08366_ (.A(_05276_),
+    .B(_05442_),
+    .Y(_05443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08880_ (.A(_05483_),
-    .B(_05698_),
-    .C(_05509_),
-    .X(_05708_),
+ sky130_fd_sc_hd__or3_1 _08367_ (.A(_05254_),
+    .B(_05434_),
+    .C(_05280_),
+    .X(_05444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _08881_ (.A(_05490_),
-    .B(_05519_),
-    .C(_05707_),
-    .D(_05708_),
-    .X(_05709_),
+ sky130_fd_sc_hd__or4_1 _08368_ (.A(_05261_),
+    .B(_05290_),
+    .C(_05443_),
+    .D(_05444_),
+    .X(_05445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08882_ (.A(_05709_),
-    .Y(_05710_),
+ sky130_fd_sc_hd__inv_2 _08369_ (.A(_05445_),
+    .Y(_05446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _08883_ (.A1(_05531_),
-    .A2(_05710_),
-    .B1(_05702_),
-    .Y(_05711_),
+ sky130_fd_sc_hd__o21ai_2 _08370_ (.A1(_05302_),
+    .A2(_05446_),
+    .B1(_05438_),
+    .Y(_05447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08884_ (.A(_05711_),
-    .X(_05712_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08371_ (.A(_05447_),
+    .X(_05448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08885_ (.A(_05712_),
-    .X(_05713_),
+ sky130_fd_sc_hd__nand2_1 _08372_ (.A(_05410_),
+    .B(_05447_),
+    .Y(_05449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _08886_ (.A(_05669_),
-    .B(_05711_),
-    .Y(_05714_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08373_ (.A(_05449_),
+    .X(_05450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08887_ (.A(_05714_),
-    .X(_05715_),
+ sky130_fd_sc_hd__or4_1 _08374_ (.A(_05263_),
+    .B(_05299_),
+    .C(_05432_),
+    .D(_05445_),
+    .X(_05451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _08888_ (.A(_05492_),
-    .B(_05528_),
-    .C(_05696_),
-    .D(_05709_),
-    .X(_05716_),
+ sky130_fd_sc_hd__or2_1 _08375_ (.A(_05450_),
+    .B(_05451_),
+    .X(_05452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08889_ (.A(_05715_),
-    .B(_05716_),
-    .X(_05717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _08890_ (.A1(_05705_),
-    .A2(_05713_),
-    .B1(_05717_),
+ sky130_fd_sc_hd__o21ai_1 _08376_ (.A1(_05441_),
+    .A2(_05448_),
+    .B1(_05452_),
     .Y(_02772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _08891_ (.A1(_05511_),
-    .A2(_05713_),
-    .B1(_05717_),
+ sky130_fd_sc_hd__o21ai_1 _08377_ (.A1(_05282_),
+    .A2(_05448_),
+    .B1(_05452_),
     .Y(_02771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08892_ (.A(_05715_),
-    .X(_05718_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08378_ (.A(_05450_),
+    .X(_05453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08893_ (.A1(_05524_),
-    .A2(_05713_),
-    .B1(_05515_),
-    .B2(_05718_),
+ sky130_fd_sc_hd__o22ai_1 _08379_ (.A1(_05295_),
+    .A2(_05448_),
+    .B1(_05286_),
+    .B2(_05453_),
     .Y(_02770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08894_ (.A1(_05515_),
-    .A2(_05713_),
-    .B1(_05472_),
-    .B2(_05718_),
+ sky130_fd_sc_hd__o22ai_1 _08380_ (.A1(_05286_),
+    .A2(_05448_),
+    .B1(_05244_),
+    .B2(_05453_),
     .Y(_02769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08895_ (.A(\u_i2cm.u_byte_ctrl.core_cmd[2] ),
-    .Y(_05719_),
+ sky130_fd_sc_hd__inv_2 _08381_ (.A(\u_i2cm.u_byte_ctrl.core_cmd[2] ),
+    .Y(_05454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08896_ (.A(_05529_),
-    .B(_05708_),
-    .X(_05720_),
+ sky130_fd_sc_hd__or2_2 _08382_ (.A(_05300_),
+    .B(_05444_),
+    .X(_05455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08897_ (.A(_05714_),
-    .X(_05721_),
+ sky130_fd_sc_hd__clkbuf_2 _08383_ (.A(_05449_),
+    .X(_05456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _08898_ (.A1(_05719_),
-    .A2(_05720_),
-    .A3(_05721_),
-    .B1(_05472_),
-    .B2(_05712_),
-    .X(_05722_),
+ sky130_fd_sc_hd__clkbuf_2 _08384_ (.A(_05447_),
+    .X(_05457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08899_ (.A(_05722_),
+ sky130_fd_sc_hd__o32a_1 _08385_ (.A1(_05454_),
+    .A2(_05455_),
+    .A3(_05456_),
+    .B1(_05244_),
+    .B2(_05457_),
+    .X(_05458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08386_ (.A(_05458_),
     .Y(_02768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08900_ (.A(_05711_),
-    .X(_05723_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08387_ (.A(_05447_),
+    .X(_05459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08901_ (.A(_05723_),
-    .X(_05724_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08388_ (.A(_05459_),
+    .X(_05460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08902_ (.A1(_05493_),
-    .A2(_05724_),
-    .B1(_05526_),
-    .B2(_05718_),
+ sky130_fd_sc_hd__o22ai_1 _08389_ (.A1(_05264_),
+    .A2(_05460_),
+    .B1(_05297_),
+    .B2(_05453_),
     .Y(_02767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08903_ (.A1(_05526_),
-    .A2(_05724_),
-    .B1(_05512_),
-    .B2(_05718_),
+ sky130_fd_sc_hd__o22ai_1 _08390_ (.A1(_05297_),
+    .A2(_05460_),
+    .B1(_05283_),
+    .B2(_05453_),
     .Y(_02766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08904_ (.A(_05715_),
-    .X(_05725_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08391_ (.A(_05450_),
+    .X(_05461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08905_ (.A1(_05512_),
-    .A2(_05724_),
-    .B1(_05521_),
-    .B2(_05725_),
+ sky130_fd_sc_hd__o22ai_1 _08392_ (.A1(_05283_),
+    .A2(_05460_),
+    .B1(_05292_),
+    .B2(_05461_),
     .Y(_02765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08906_ (.A(\u_i2cm.u_byte_ctrl.core_cmd[1] ),
-    .Y(_05726_),
+ sky130_fd_sc_hd__inv_2 _08393_ (.A(\u_i2cm.u_byte_ctrl.core_cmd[1] ),
+    .Y(_05462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _08907_ (.A1(_05726_),
-    .A2(_05720_),
-    .A3(_05721_),
-    .B1(_05521_),
-    .B2(_05712_),
-    .X(_05727_),
+ sky130_fd_sc_hd__o32a_1 _08394_ (.A1(_05462_),
+    .A2(_05455_),
+    .A3(_05456_),
+    .B1(_05292_),
+    .B2(_05457_),
+    .X(_05463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08908_ (.A(_05727_),
+ sky130_fd_sc_hd__clkinv_2 _08395_ (.A(_05463_),
     .Y(_02764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08909_ (.A1(_05486_),
-    .A2(_05724_),
-    .B1(_05518_),
-    .B2(_05725_),
+ sky130_fd_sc_hd__o22ai_1 _08396_ (.A1(_05257_),
+    .A2(_05460_),
+    .B1(_05289_),
+    .B2(_05461_),
     .Y(_02763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08910_ (.A(_05723_),
-    .X(_05728_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08397_ (.A(_05459_),
+    .X(_05464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08911_ (.A1(_05518_),
-    .A2(_05728_),
-    .B1(_05500_),
-    .B2(_05725_),
+ sky130_fd_sc_hd__o22ai_1 _08398_ (.A1(_05289_),
+    .A2(_05464_),
+    .B1(_05271_),
+    .B2(_05461_),
     .Y(_02762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08912_ (.A1(_05500_),
-    .A2(_05728_),
-    .B1(_05479_),
-    .B2(_05725_),
+ sky130_fd_sc_hd__o22ai_1 _08399_ (.A1(_05271_),
+    .A2(_05464_),
+    .B1(_05250_),
+    .B2(_05461_),
     .Y(_02761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08913_ (.A(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
-    .Y(_05729_),
+ sky130_fd_sc_hd__inv_2 _08400_ (.A(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
+    .Y(_05465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _08914_ (.A1(_05729_),
-    .A2(_05720_),
-    .A3(_05721_),
-    .B1(_05479_),
-    .B2(_05712_),
-    .X(_05730_),
+ sky130_fd_sc_hd__o32a_1 _08401_ (.A1(_05465_),
+    .A2(_05455_),
+    .A3(_05456_),
+    .B1(_05250_),
+    .B2(_05459_),
+    .X(_05466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08915_ (.A(_05730_),
+ sky130_fd_sc_hd__clkinv_2 _08402_ (.A(_05466_),
     .Y(_02760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08916_ (.A(_05715_),
-    .X(_05731_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08403_ (.A(_05450_),
+    .X(_05467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08917_ (.A1(_05489_),
-    .A2(_05728_),
-    .B1(_05501_),
-    .B2(_05731_),
+ sky130_fd_sc_hd__o22ai_1 _08404_ (.A1(_05260_),
+    .A2(_05464_),
+    .B1(_05272_),
+    .B2(_05467_),
     .Y(_02759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08918_ (.A1(_05501_),
-    .A2(_05728_),
-    .B1(_05503_),
-    .B2(_05731_),
+ sky130_fd_sc_hd__o22ai_1 _08405_ (.A1(_05272_),
+    .A2(_05464_),
+    .B1(_05274_),
+    .B2(_05467_),
     .Y(_02758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08919_ (.A1(_05503_),
-    .A2(_05723_),
-    .B1(_05508_),
-    .B2(_05731_),
+ sky130_fd_sc_hd__o22ai_1 _08406_ (.A1(_05274_),
+    .A2(_05457_),
+    .B1(_05279_),
+    .B2(_05467_),
     .Y(_02757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _08920_ (.A1(_05506_),
-    .A2(_05723_),
-    .B1(_05697_),
-    .B2(_05731_),
+ sky130_fd_sc_hd__o22ai_1 _08407_ (.A1(_05277_),
+    .A2(_05457_),
+    .B1(_05433_),
+    .B2(_05467_),
     .Y(_02756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08921_ (.A(\u_i2cm.u_byte_ctrl.core_cmd[4] ),
-    .Y(_05732_),
+ sky130_fd_sc_hd__inv_2 _08408_ (.A(\u_i2cm.u_byte_ctrl.core_cmd[4] ),
+    .Y(_05468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _08922_ (.A1(_05732_),
-    .A2(_05720_),
-    .A3(_05721_),
-    .B1(_05697_),
-    .B2(_05711_),
-    .X(_05733_),
+ sky130_fd_sc_hd__o32a_1 _08409_ (.A1(_05468_),
+    .A2(_05455_),
+    .A3(_05456_),
+    .B1(_05433_),
+    .B2(_05459_),
+    .X(_05469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08923_ (.A(_05733_),
+ sky130_fd_sc_hd__inv_2 _08410_ (.A(_05469_),
     .Y(_02755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08924_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
-    .Y(_05734_),
+ sky130_fd_sc_hd__inv_2 _08411_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
+    .Y(_05470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08925_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
+ sky130_fd_sc_hd__or2_1 _08412_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
-    .X(_05735_),
+    .X(_05471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08926_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
-    .B(_05735_),
-    .X(_05736_),
+ sky130_fd_sc_hd__or2_1 _08413_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
+    .B(_05471_),
+    .X(_05472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08927_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
-    .B(_05736_),
-    .X(_05737_),
+ sky130_fd_sc_hd__or2_1 _08414_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
+    .B(_05472_),
+    .X(_05473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08928_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
-    .B(_05737_),
-    .X(_05738_),
+ sky130_fd_sc_hd__or2_1 _08415_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
+    .B(_05473_),
+    .X(_05474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08929_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
-    .B(_05738_),
-    .X(_05739_),
+ sky130_fd_sc_hd__or2_1 _08416_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
+    .B(_05474_),
+    .X(_05475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08930_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
-    .B(_05739_),
-    .X(_05740_),
+ sky130_fd_sc_hd__or2_1 _08417_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
+    .B(_05475_),
+    .X(_05476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08931_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
-    .B(_05740_),
-    .X(_05741_),
+ sky130_fd_sc_hd__or2_1 _08418_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
+    .B(_05476_),
+    .X(_05477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08932_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
-    .B(_05741_),
-    .X(_05742_),
+ sky130_fd_sc_hd__or2_1 _08419_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
+    .B(_05477_),
+    .X(_05478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08933_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
-    .B(_05742_),
-    .X(_05743_),
+ sky130_fd_sc_hd__or2_1 _08420_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
+    .B(_05478_),
+    .X(_05479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08934_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
-    .B(_05743_),
-    .X(_05744_),
+ sky130_fd_sc_hd__or2_1 _08421_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
+    .B(_05479_),
+    .X(_05480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08935_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
-    .B(_05744_),
-    .X(_05745_),
+ sky130_fd_sc_hd__or2_1 _08422_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
+    .B(_05480_),
+    .X(_05481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08936_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
-    .B(_05745_),
-    .X(_05746_),
+ sky130_fd_sc_hd__or2_1 _08423_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
+    .B(_05481_),
+    .X(_05482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08937_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
-    .B(_05746_),
-    .X(_05747_),
+ sky130_fd_sc_hd__or2_1 _08424_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
+    .B(_05482_),
+    .X(_05483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _08938_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
-    .B(_05747_),
-    .X(_05748_),
+ sky130_fd_sc_hd__or2_2 _08425_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
+    .B(_05483_),
+    .X(_05484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08939_ (.A(\u_i2cm.scl_padoen_o ),
-    .Y(_05749_),
+ sky130_fd_sc_hd__inv_2 _08426_ (.A(\u_i2cm.scl_padoen_o ),
+    .Y(_05485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08940_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ),
-    .Y(_05750_),
+ sky130_fd_sc_hd__inv_2 _08427_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ),
+    .Y(_05486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _08941_ (.A(_05749_),
+ sky130_fd_sc_hd__or3_2 _08428_ (.A(_05485_),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
-    .C(_05750_),
-    .X(_05751_),
+    .C(_05486_),
+    .X(_05487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211ai_4 _08942_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
-    .A2(_05748_),
+ sky130_fd_sc_hd__o211ai_4 _08429_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
+    .A2(_05484_),
     .B1(\u_i2cm.core_en ),
-    .C1(_05751_),
+    .C1(_05487_),
     .Y(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _08943_ (.A(_05734_),
+ sky130_fd_sc_hd__or2_1 _08430_ (.A(_05470_),
     .B(_00036_),
-    .X(_05752_),
+    .X(_05488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08944_ (.A(_05752_),
-    .X(_05753_),
+ sky130_fd_sc_hd__clkbuf_2 _08431_ (.A(_05488_),
+    .X(_05489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08945_ (.A(_05753_),
-    .X(_05754_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08432_ (.A(_05489_),
+    .X(_05490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08946_ (.A(_05752_),
-    .Y(_05755_),
+ sky130_fd_sc_hd__inv_2 _08433_ (.A(_05488_),
+    .Y(_05491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08947_ (.A(_05755_),
-    .X(_05756_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08434_ (.A(_05491_),
+    .X(_05492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08948_ (.A1(_00062_),
-    .A2(_05754_),
+ sky130_fd_sc_hd__a22o_1 _08435_ (.A1(_00062_),
+    .A2(_05490_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
-    .B2(_05756_),
+    .B2(_05492_),
     .X(_02754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08949_ (.A1(_00061_),
-    .A2(_05754_),
+ sky130_fd_sc_hd__a22o_1 _08436_ (.A1(_00061_),
+    .A2(_05490_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
-    .B2(_05756_),
+    .B2(_05492_),
     .X(_02753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08950_ (.A1(_00060_),
-    .A2(_05754_),
+ sky130_fd_sc_hd__a22o_1 _08437_ (.A1(_00060_),
+    .A2(_05490_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
-    .B2(_05756_),
+    .B2(_05492_),
     .X(_02752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08951_ (.A1(_00059_),
-    .A2(_05754_),
+ sky130_fd_sc_hd__a22o_1 _08438_ (.A1(_00059_),
+    .A2(_05490_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
-    .B2(_05756_),
+    .B2(_05492_),
     .X(_02751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08952_ (.A(_05753_),
-    .X(_05757_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08439_ (.A(_05489_),
+    .X(_05493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08953_ (.A(_05755_),
-    .X(_05758_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08440_ (.A(_05491_),
+    .X(_05494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08954_ (.A1(_00058_),
-    .A2(_05757_),
+ sky130_fd_sc_hd__a22o_1 _08441_ (.A1(_00058_),
+    .A2(_05493_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
-    .B2(_05758_),
+    .B2(_05494_),
     .X(_02750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08955_ (.A1(_00057_),
-    .A2(_05757_),
+ sky130_fd_sc_hd__a22o_1 _08442_ (.A1(_00057_),
+    .A2(_05493_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
-    .B2(_05758_),
+    .B2(_05494_),
     .X(_02749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08956_ (.A1(_00071_),
-    .A2(_05757_),
+ sky130_fd_sc_hd__a22o_1 _08443_ (.A1(_00071_),
+    .A2(_05493_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
-    .B2(_05758_),
+    .B2(_05494_),
     .X(_02748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08957_ (.A1(_00070_),
-    .A2(_05757_),
+ sky130_fd_sc_hd__a22o_1 _08444_ (.A1(_00070_),
+    .A2(_05493_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
-    .B2(_05758_),
+    .B2(_05494_),
     .X(_02747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08958_ (.A(_05753_),
-    .X(_05759_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08445_ (.A(_05489_),
+    .X(_05495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08959_ (.A(_05755_),
-    .X(_05760_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08446_ (.A(_05491_),
+    .X(_05496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08960_ (.A1(_00069_),
-    .A2(_05759_),
+ sky130_fd_sc_hd__a22o_1 _08447_ (.A1(_00069_),
+    .A2(_05495_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
-    .B2(_05760_),
+    .B2(_05496_),
     .X(_02746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08961_ (.A1(_00068_),
-    .A2(_05759_),
+ sky130_fd_sc_hd__a22o_1 _08448_ (.A1(_00068_),
+    .A2(_05495_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
-    .B2(_05760_),
+    .B2(_05496_),
     .X(_02745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08962_ (.A1(_00067_),
-    .A2(_05759_),
+ sky130_fd_sc_hd__a22o_1 _08449_ (.A1(_00067_),
+    .A2(_05495_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
-    .B2(_05760_),
+    .B2(_05496_),
     .X(_02744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08963_ (.A1(_00066_),
-    .A2(_05759_),
+ sky130_fd_sc_hd__a22o_1 _08450_ (.A1(_00066_),
+    .A2(_05495_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
-    .B2(_05760_),
+    .B2(_05496_),
     .X(_02743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08964_ (.A(_05753_),
-    .X(_05761_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08451_ (.A(_05489_),
+    .X(_05497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _08965_ (.A(_05755_),
-    .X(_05762_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08452_ (.A(_05491_),
+    .X(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08966_ (.A1(_00065_),
-    .A2(_05761_),
+ sky130_fd_sc_hd__a22o_1 _08453_ (.A1(_00065_),
+    .A2(_05497_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
-    .B2(_05762_),
+    .B2(_05498_),
     .X(_02742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08967_ (.A1(_00064_),
-    .A2(_05761_),
+ sky130_fd_sc_hd__a22o_1 _08454_ (.A1(_00064_),
+    .A2(_05497_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
-    .B2(_05762_),
+    .B2(_05498_),
     .X(_02741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08968_ (.A1(_00063_),
-    .A2(_05761_),
+ sky130_fd_sc_hd__a22o_1 _08455_ (.A1(_00063_),
+    .A2(_05497_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
-    .B2(_05762_),
+    .B2(_05498_),
     .X(_02740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _08969_ (.A1(_00056_),
-    .A2(_05761_),
+ sky130_fd_sc_hd__a22o_1 _08456_ (.A1(_00056_),
+    .A2(_05497_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
-    .B2(_05762_),
+    .B2(_05498_),
     .X(_02739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08970_ (.A(\u_uart_core.u_cfg.u_intr_bit0.data_out ),
-    .Y(_05763_),
+ sky130_fd_sc_hd__inv_2 _08457_ (.A(\u_uart_core.u_cfg.u_intr_bit0.data_out ),
+    .Y(_05499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08971_ (.A(net11),
-    .X(_05764_),
+ sky130_fd_sc_hd__clkbuf_2 _08458_ (.A(_05380_),
+    .X(_05500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _08972_ (.A(_05764_),
-    .X(_05765_),
+ sky130_fd_sc_hd__inv_4 _08459_ (.A(net42),
+    .Y(_05501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08973_ (.A(_05765_),
-    .X(_05766_),
+ sky130_fd_sc_hd__inv_2 _08460_ (.A(net13),
+    .Y(_05502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _08974_ (.A(_05621_),
-    .X(_05767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_4 _08975_ (.A(net42),
-    .Y(_05768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08976_ (.A(net13),
-    .Y(_05769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_4 _08977_ (.A(net119),
-    .B(_05769_),
+ sky130_fd_sc_hd__or3b_4 _08461_ (.A(net178),
+    .B(_05502_),
     .C_N(net12),
-    .X(_05770_),
+    .X(_05503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _08978_ (.A(_05766_),
-    .B(_05767_),
-    .C(_05770_),
-    .X(_05771_),
+ sky130_fd_sc_hd__or3_1 _08462_ (.A(net11),
+    .B(_05500_),
+    .C(_05503_),
+    .X(_05504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2b_1 _08979_ (.A_N(_05771_),
-    .B(_05642_),
-    .X(_05772_),
+ sky130_fd_sc_hd__and2b_1 _08463_ (.A_N(_05504_),
+    .B(net14),
+    .X(_05505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21bai_1 _08980_ (.A1(_05763_),
-    .A2(_05772_),
+ sky130_fd_sc_hd__o21bai_1 _08464_ (.A1(_05499_),
+    .A2(_05505_),
     .B1_N(\u_uart_core.frm_error_o ),
     .Y(_02738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08981_ (.A(\u_uart_core.u_cfg.u_intr_bit1.data_out ),
-    .Y(_05773_),
+ sky130_fd_sc_hd__inv_2 _08465_ (.A(\u_uart_core.u_cfg.u_intr_bit1.data_out ),
+    .Y(_05506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2b_1 _08982_ (.A_N(_05771_),
-    .B(_05640_),
-    .X(_05774_),
+ sky130_fd_sc_hd__and2b_1 _08466_ (.A_N(_05504_),
+    .B(net25),
+    .X(_05507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21bai_1 _08983_ (.A1(_05773_),
-    .A2(_05774_),
+ sky130_fd_sc_hd__o21bai_1 _08467_ (.A1(_05506_),
+    .A2(_05507_),
     .B1_N(\u_uart_core.par_error_o ),
     .Y(_02737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08984_ (.A(\u_uart_core.u_cfg.u_intr_bit2.data_out ),
-    .Y(_05775_),
+ sky130_fd_sc_hd__inv_2 _08468_ (.A(\u_uart_core.u_cfg.u_intr_bit2.data_out ),
+    .Y(_05508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2b_1 _08985_ (.A_N(_05771_),
-    .B(_05638_),
-    .X(_05776_),
+ sky130_fd_sc_hd__and2b_1 _08469_ (.A_N(_05504_),
+    .B(net32),
+    .X(_05509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21bai_1 _08986_ (.A1(_05775_),
-    .A2(_05776_),
+ sky130_fd_sc_hd__o21bai_1 _08470_ (.A1(_05508_),
+    .A2(_05509_),
     .B1_N(\u_uart_core.rx_fifo_full_err_o ),
     .Y(_02736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08987_ (.A(\u_uart_core.u_clk_ctl.low_count[1] ),
+ sky130_fd_sc_hd__or2_1 _08471_ (.A(\u_uart_core.u_clk_ctl.low_count[1] ),
     .B(\u_uart_core.u_clk_ctl.low_count[0] ),
-    .X(_05777_),
+    .X(_05510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08988_ (.A(\u_uart_core.u_clk_ctl.low_count[2] ),
-    .B(_05777_),
-    .X(_05778_),
+ sky130_fd_sc_hd__or2_1 _08472_ (.A(\u_uart_core.u_clk_ctl.low_count[2] ),
+    .B(_05510_),
+    .X(_05511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08989_ (.A(\u_uart_core.u_clk_ctl.low_count[3] ),
-    .B(_05778_),
-    .X(_05779_),
+ sky130_fd_sc_hd__or2_1 _08473_ (.A(\u_uart_core.u_clk_ctl.low_count[3] ),
+    .B(_05511_),
+    .X(_05512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08990_ (.A(\u_uart_core.u_clk_ctl.low_count[4] ),
-    .B(_05779_),
-    .X(_05780_),
+ sky130_fd_sc_hd__or2_1 _08474_ (.A(\u_uart_core.u_clk_ctl.low_count[4] ),
+    .B(_05512_),
+    .X(_05513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08991_ (.A(\u_uart_core.u_clk_ctl.low_count[5] ),
-    .B(_05780_),
-    .X(_05781_),
+ sky130_fd_sc_hd__or2_1 _08475_ (.A(\u_uart_core.u_clk_ctl.low_count[5] ),
+    .B(_05513_),
+    .X(_05514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08992_ (.A(\u_uart_core.u_clk_ctl.low_count[6] ),
-    .B(_05781_),
-    .X(_05782_),
+ sky130_fd_sc_hd__or2_1 _08476_ (.A(\u_uart_core.u_clk_ctl.low_count[6] ),
+    .B(_05514_),
+    .X(_05515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08993_ (.A(\u_uart_core.u_clk_ctl.low_count[7] ),
-    .B(_05782_),
-    .X(_05783_),
+ sky130_fd_sc_hd__or2_1 _08477_ (.A(\u_uart_core.u_clk_ctl.low_count[7] ),
+    .B(_05515_),
+    .X(_05516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08994_ (.A(\u_uart_core.u_clk_ctl.low_count[8] ),
-    .B(_05783_),
-    .X(_05784_),
+ sky130_fd_sc_hd__or2_1 _08478_ (.A(\u_uart_core.u_clk_ctl.low_count[8] ),
+    .B(_05516_),
+    .X(_05517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08995_ (.A(\u_uart_core.u_clk_ctl.low_count[9] ),
-    .B(_05784_),
-    .X(_05785_),
+ sky130_fd_sc_hd__or2_1 _08479_ (.A(\u_uart_core.u_clk_ctl.low_count[9] ),
+    .B(_05517_),
+    .X(_05518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08996_ (.A(\u_uart_core.u_clk_ctl.low_count[10] ),
-    .B(_05785_),
-    .X(_05786_),
+ sky130_fd_sc_hd__or2_1 _08480_ (.A(\u_uart_core.u_clk_ctl.low_count[10] ),
+    .B(_05518_),
+    .X(_05519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08997_ (.A(_05786_),
-    .Y(_05787_),
+ sky130_fd_sc_hd__inv_2 _08481_ (.A(_05519_),
+    .Y(_05520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 _08998_ (.A(_05787_),
+ sky130_fd_sc_hd__buf_6 _08482_ (.A(_05520_),
     .X(_00313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _08999_ (.A(\u_uart_core.u_clk_ctl.high_count[1] ),
+ sky130_fd_sc_hd__or2_1 _08483_ (.A(\u_uart_core.u_clk_ctl.high_count[1] ),
     .B(\u_uart_core.u_clk_ctl.high_count[0] ),
-    .X(_05788_),
+    .X(_05521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09000_ (.A(\u_uart_core.u_clk_ctl.high_count[2] ),
-    .B(_05788_),
-    .X(_05789_),
+ sky130_fd_sc_hd__or2_1 _08484_ (.A(\u_uart_core.u_clk_ctl.high_count[2] ),
+    .B(_05521_),
+    .X(_05522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09001_ (.A(\u_uart_core.u_clk_ctl.high_count[3] ),
-    .B(_05789_),
-    .X(_05790_),
+ sky130_fd_sc_hd__or2_1 _08485_ (.A(\u_uart_core.u_clk_ctl.high_count[3] ),
+    .B(_05522_),
+    .X(_05523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09002_ (.A(\u_uart_core.u_clk_ctl.high_count[4] ),
-    .B(_05790_),
-    .X(_05791_),
+ sky130_fd_sc_hd__or2_1 _08486_ (.A(\u_uart_core.u_clk_ctl.high_count[4] ),
+    .B(_05523_),
+    .X(_05524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09003_ (.A(\u_uart_core.u_clk_ctl.high_count[5] ),
-    .B(_05791_),
-    .X(_05792_),
+ sky130_fd_sc_hd__or2_1 _08487_ (.A(\u_uart_core.u_clk_ctl.high_count[5] ),
+    .B(_05524_),
+    .X(_05525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09004_ (.A(\u_uart_core.u_clk_ctl.high_count[6] ),
-    .B(_05792_),
-    .X(_05793_),
+ sky130_fd_sc_hd__or2_1 _08488_ (.A(\u_uart_core.u_clk_ctl.high_count[6] ),
+    .B(_05525_),
+    .X(_05526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09005_ (.A(\u_uart_core.u_clk_ctl.high_count[7] ),
-    .B(_05793_),
-    .X(_05794_),
+ sky130_fd_sc_hd__or2_1 _08489_ (.A(\u_uart_core.u_clk_ctl.high_count[7] ),
+    .B(_05526_),
+    .X(_05527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09006_ (.A(\u_uart_core.u_clk_ctl.high_count[8] ),
-    .B(_05794_),
-    .X(_05795_),
+ sky130_fd_sc_hd__or2_1 _08490_ (.A(\u_uart_core.u_clk_ctl.high_count[8] ),
+    .B(_05527_),
+    .X(_05528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09007_ (.A(\u_uart_core.u_clk_ctl.high_count[9] ),
-    .B(_05795_),
-    .X(_05796_),
+ sky130_fd_sc_hd__or2_1 _08491_ (.A(\u_uart_core.u_clk_ctl.high_count[9] ),
+    .B(_05528_),
+    .X(_05529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09008_ (.A(\u_uart_core.u_clk_ctl.high_count[10] ),
-    .B(_05796_),
-    .X(_05797_),
+ sky130_fd_sc_hd__or2_1 _08492_ (.A(\u_uart_core.u_clk_ctl.high_count[10] ),
+    .B(_05529_),
+    .X(_05530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09009_ (.A(_05797_),
-    .X(_05798_),
+ sky130_fd_sc_hd__clkbuf_1 _08493_ (.A(_05530_),
+    .X(_05531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09010_ (.A(_05798_),
-    .X(_05799_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08494_ (.A(_05531_),
+    .X(_05532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09011_ (.A(_05799_),
-    .X(_05800_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08495_ (.A(_05532_),
+    .X(_05533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09012_ (.A1(_00313_),
-    .A2(_05800_),
+ sky130_fd_sc_hd__o21a_1 _08496_ (.A1(_00313_),
+    .A2(_05533_),
     .B1(_00093_),
     .X(_02735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09013_ (.A1(_00313_),
-    .A2(_05800_),
+ sky130_fd_sc_hd__o21a_1 _08497_ (.A1(_00313_),
+    .A2(_05533_),
     .B1(_00102_),
     .X(_02734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09014_ (.A(_05787_),
-    .X(_05801_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08498_ (.A(_05520_),
+    .X(_05534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09015_ (.A1(_05801_),
-    .A2(_05800_),
+ sky130_fd_sc_hd__o21a_1 _08499_ (.A1(_05534_),
+    .A2(_05533_),
     .B1(_00101_),
     .X(_02733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09016_ (.A1(_05801_),
-    .A2(_05800_),
+ sky130_fd_sc_hd__o21a_1 _08500_ (.A1(_05534_),
+    .A2(_05533_),
     .B1(_00100_),
     .X(_02732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09017_ (.A(_05799_),
-    .X(_05802_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08501_ (.A(_05532_),
+    .X(_05535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09018_ (.A1(_05801_),
-    .A2(_05802_),
+ sky130_fd_sc_hd__o21a_1 _08502_ (.A1(_05534_),
+    .A2(_05535_),
     .B1(_00099_),
     .X(_02731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09019_ (.A1(_05801_),
-    .A2(_05802_),
+ sky130_fd_sc_hd__o21a_1 _08503_ (.A1(_05534_),
+    .A2(_05535_),
     .B1(_00098_),
     .X(_02730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09020_ (.A(_05787_),
-    .X(_05803_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08504_ (.A(_05520_),
+    .X(_05536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09021_ (.A1(_05803_),
-    .A2(_05802_),
+ sky130_fd_sc_hd__o21a_1 _08505_ (.A1(_05536_),
+    .A2(_05535_),
     .B1(_00097_),
     .X(_02729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09022_ (.A1(_05803_),
-    .A2(_05802_),
+ sky130_fd_sc_hd__o21a_1 _08506_ (.A1(_05536_),
+    .A2(_05535_),
     .B1(_00096_),
     .X(_02728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09023_ (.A(_05798_),
-    .X(_05804_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08507_ (.A(_05531_),
+    .X(_05537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09024_ (.A1(_05803_),
-    .A2(_05804_),
+ sky130_fd_sc_hd__o21a_1 _08508_ (.A1(_05536_),
+    .A2(_05537_),
     .B1(_00095_),
     .X(_02727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09025_ (.A1(_05803_),
-    .A2(_05804_),
+ sky130_fd_sc_hd__o21a_1 _08509_ (.A1(_05536_),
+    .A2(_05537_),
     .B1(_00094_),
     .X(_02726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09026_ (.A1(_05787_),
-    .A2(_05804_),
+ sky130_fd_sc_hd__o21a_1 _08510_ (.A1(_05520_),
+    .A2(_05537_),
     .B1(_00092_),
     .X(_02725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09027_ (.A(net113),
-    .X(_05805_),
+ sky130_fd_sc_hd__buf_2 _08511_ (.A(_05502_),
+    .X(_05538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09028_ (.A(_05769_),
-    .X(_05806_),
+ sky130_fd_sc_hd__inv_2 _08512_ (.A(\u_uart_core.reg_ack ),
+    .Y(_05539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09029_ (.A(\u_uart_core.reg_ack ),
-    .Y(_05807_),
+ sky130_fd_sc_hd__or2_1 _08513_ (.A(\u_uart_core.app_rxfifo_empty ),
+    .B(_05539_),
+    .X(_05540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09030_ (.A(\u_uart_core.app_rxfifo_empty ),
-    .B(_05807_),
-    .X(_05808_),
+ sky130_fd_sc_hd__or4_2 _08514_ (.A(_05394_),
+    .B(net8),
+    .C(net11),
+    .D(_05370_),
+    .X(_05541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09031_ (.A(_05661_),
-    .B(_05607_),
-    .C(_05764_),
-    .D(_05609_),
-    .X(_05809_),
+ sky130_fd_sc_hd__or4_4 _08515_ (.A(net137),
+    .B(_05538_),
+    .C(_05540_),
+    .D(_05541_),
+    .X(_05542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09032_ (.A(_05805_),
-    .B(_05806_),
-    .C(_05808_),
-    .D(_05809_),
-    .X(_05810_),
+ sky130_fd_sc_hd__inv_2 _08516_ (.A(_05542_),
+    .Y(_05543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09033_ (.A(_05810_),
-    .Y(_05811_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08517_ (.A(_05543_),
+    .X(_05544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09034_ (.A(_05811_),
+ sky130_fd_sc_hd__clkbuf_1 _08518_ (.A(_05544_),
     .X(\u_uart_core.app_rxfifo_rd_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09035_ (.A(_05811_),
-    .X(_05812_),
+ sky130_fd_sc_hd__mux2_1 _08519_ (.A0(\u_uart_core.app_rxfifo_empty ),
+    .A1(_05543_),
+    .S(_00033_),
+    .X(_05545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09036_ (.A0(\u_uart_core.app_rxfifo_empty ),
-    .A1(_05812_),
-    .S(_00033_),
+ sky130_fd_sc_hd__clkbuf_1 _08520_ (.A(_05545_),
     .X(_02724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09037_ (.A(\u_uart_core.rx_fifo_wr ),
-    .X(_05813_),
+ sky130_fd_sc_hd__mux2_1 _08521_ (.A0(\u_uart_core.rx_fifo_wr_full ),
+    .A1(\u_uart_core.rx_fifo_wr ),
+    .S(_00032_),
+    .X(_05546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09038_ (.A0(\u_uart_core.rx_fifo_wr_full ),
-    .A1(_05813_),
-    .S(_00032_),
+ sky130_fd_sc_hd__clkbuf_1 _08522_ (.A(_05546_),
     .X(_02723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09039_ (.A(\u_uart_core.rx_fifo_wr_data[7] ),
-    .X(_05814_),
+ sky130_fd_sc_hd__inv_2 _08523_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
+    .Y(_05547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09040_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
-    .Y(_05815_),
+ sky130_fd_sc_hd__inv_2 _08524_ (.A(\u_uart_core.u_rxfsm.cnt[0] ),
+    .Y(_05548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09041_ (.A(\u_uart_core.u_rxfsm.cnt[0] ),
-    .Y(_05816_),
+ sky130_fd_sc_hd__clkbuf_1 _08525_ (.A(_05548_),
+    .X(_05549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09042_ (.A(_05816_),
-    .X(_05817_),
+ sky130_fd_sc_hd__inv_2 _08526_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
+    .Y(_05550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09043_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
-    .Y(_05818_),
+ sky130_fd_sc_hd__inv_2 _08527_ (.A(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .Y(_05551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09044_ (.A(\u_uart_core.u_rxfsm.rxstate[1] ),
-    .Y(_05819_),
+ sky130_fd_sc_hd__or3_1 _08528_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .B(_05551_),
+    .C(\u_uart_core.u_rxfsm.rxstate[0] ),
+    .X(_05552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09045_ (.A(\u_uart_core.u_rxfsm.rxstate[0] ),
-    .X(_05820_),
+ sky130_fd_sc_hd__inv_2 _08529_ (.A(\u_uart_core.u_rxfsm.rxpos[2] ),
+    .Y(_05553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09046_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
-    .B(_05819_),
-    .C(_05820_),
-    .X(_05821_),
+ sky130_fd_sc_hd__inv_2 _08530_ (.A(\u_uart_core.u_rxfsm.offset[2] ),
+    .Y(_05554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09047_ (.A(\u_uart_core.u_rxfsm.offset[2] ),
-    .X(_05822_),
+ sky130_fd_sc_hd__o22a_1 _08531_ (.A1(\u_uart_core.u_rxfsm.rxpos[2] ),
+    .A2(\u_uart_core.u_rxfsm.offset[2] ),
+    .B1(_05553_),
+    .B2(_05554_),
+    .X(_05555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09048_ (.A(\u_uart_core.u_rxfsm.rxpos[2] ),
-    .Y(_05823_),
+ sky130_fd_sc_hd__inv_2 _08532_ (.A(\u_uart_core.u_rxfsm.rxpos[0] ),
+    .Y(_05556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09049_ (.A(\u_uart_core.u_rxfsm.offset[2] ),
-    .Y(_05824_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09050_ (.A1(\u_uart_core.u_rxfsm.rxpos[2] ),
-    .A2(_05822_),
-    .B1(_05823_),
-    .B2(_05824_),
-    .X(_05825_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09051_ (.A(\u_uart_core.u_rxfsm.rxpos[0] ),
-    .Y(_05826_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09052_ (.A(\u_uart_core.u_rxfsm.offset[0] ),
+ sky130_fd_sc_hd__inv_2 _08533_ (.A(\u_uart_core.u_rxfsm.offset[0] ),
     .Y(_00807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09053_ (.A1(\u_uart_core.u_rxfsm.rxpos[0] ),
+ sky130_fd_sc_hd__o22a_1 _08534_ (.A1(\u_uart_core.u_rxfsm.rxpos[0] ),
     .A2(\u_uart_core.u_rxfsm.offset[0] ),
-    .B1(_05826_),
+    .B1(_05556_),
     .B2(_00807_),
-    .X(_05827_),
+    .X(_05557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09054_ (.A(\u_uart_core.u_rxfsm.offset[3] ),
-    .Y(_05828_),
+ sky130_fd_sc_hd__inv_2 _08535_ (.A(\u_uart_core.u_rxfsm.offset[3] ),
+    .Y(_05558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09055_ (.A(\u_uart_core.u_rxfsm.rxpos[3] ),
-    .Y(_05829_),
+ sky130_fd_sc_hd__inv_2 _08536_ (.A(\u_uart_core.u_rxfsm.rxpos[3] ),
+    .Y(_05559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09056_ (.A1(\u_uart_core.u_rxfsm.rxpos[3] ),
-    .A2(_05828_),
-    .B1(_05829_),
+ sky130_fd_sc_hd__a22o_1 _08537_ (.A1(\u_uart_core.u_rxfsm.rxpos[3] ),
+    .A2(_05558_),
+    .B1(_05559_),
     .B2(\u_uart_core.u_rxfsm.offset[3] ),
-    .X(_05830_),
+    .X(_05560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09057_ (.A(\u_uart_core.u_rxfsm.rxpos[1] ),
-    .Y(_05831_),
+ sky130_fd_sc_hd__inv_2 _08538_ (.A(\u_uart_core.u_rxfsm.rxpos[1] ),
+    .Y(_05561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09058_ (.A(\u_uart_core.u_rxfsm.offset[1] ),
-    .Y(_05832_),
+ sky130_fd_sc_hd__inv_2 _08539_ (.A(\u_uart_core.u_rxfsm.offset[1] ),
+    .Y(_05562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09059_ (.A1(\u_uart_core.u_rxfsm.rxpos[1] ),
+ sky130_fd_sc_hd__o22a_1 _08540_ (.A1(\u_uart_core.u_rxfsm.rxpos[1] ),
     .A2(\u_uart_core.u_rxfsm.offset[1] ),
-    .B1(_05831_),
-    .B2(_05832_),
-    .X(_05833_),
+    .B1(_05561_),
+    .B2(_05562_),
+    .X(_05563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09060_ (.A(_05825_),
-    .B(_05827_),
-    .C(_05830_),
-    .D(_05833_),
-    .X(_05834_),
+ sky130_fd_sc_hd__or4_2 _08541_ (.A(_05555_),
+    .B(_05557_),
+    .C(_05560_),
+    .D(_05563_),
+    .X(_05564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09061_ (.A(_05821_),
-    .B(_05834_),
-    .X(_05835_),
+ sky130_fd_sc_hd__or2_1 _08542_ (.A(_05552_),
+    .B(_05564_),
+    .X(_05565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09062_ (.A(_05818_),
-    .B(_05835_),
-    .X(_05836_),
+ sky130_fd_sc_hd__or2_1 _08543_ (.A(_05550_),
+    .B(_05565_),
+    .X(_05566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09063_ (.A(_05815_),
-    .B(_05817_),
-    .C(_05836_),
-    .X(_05837_),
+ sky130_fd_sc_hd__or3_1 _08544_ (.A(_05547_),
+    .B(_05549_),
+    .C(_05566_),
+    .X(_05567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09064_ (.A(\u_uart_core.si_ss ),
-    .X(_05838_),
+ sky130_fd_sc_hd__inv_2 _08545_ (.A(_05567_),
+    .Y(_05568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09065_ (.A(_05838_),
-    .X(_05839_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09066_ (.A(_05837_),
-    .Y(_05840_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09067_ (.A1(_05814_),
-    .A2(_05837_),
-    .B1(_05839_),
-    .B2(_05840_),
+ sky130_fd_sc_hd__a22o_1 _08546_ (.A1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .A2(_05567_),
+    .B1(\u_uart_core.si_ss ),
+    .B2(_05568_),
     .X(_02722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09068_ (.A(\u_uart_core.rx_fifo_wr_data[6] ),
-    .X(_05841_),
+ sky130_fd_sc_hd__clkbuf_1 _08547_ (.A(_05547_),
+    .X(_05569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09069_ (.A(_05815_),
-    .X(_05842_),
+ sky130_fd_sc_hd__or3_1 _08548_ (.A(_05569_),
+    .B(\u_uart_core.u_rxfsm.cnt[0] ),
+    .C(_05566_),
+    .X(_05570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09070_ (.A(\u_uart_core.u_rxfsm.cnt[0] ),
-    .X(_05843_),
+ sky130_fd_sc_hd__mux2_1 _08549_ (.A0(\u_uart_core.si_ss ),
+    .A1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .S(_05570_),
+    .X(_05571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09071_ (.A(_05842_),
-    .B(_05843_),
-    .C(_05836_),
-    .X(_05844_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09072_ (.A0(_05839_),
-    .A1(_05841_),
-    .S(_05844_),
+ sky130_fd_sc_hd__clkbuf_1 _08550_ (.A(_05571_),
     .X(_02721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09073_ (.A(\u_uart_core.rx_fifo_wr_data[5] ),
-    .X(_05845_),
+ sky130_fd_sc_hd__clkbuf_1 _08551_ (.A(_05549_),
+    .X(_05572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09074_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
-    .X(_05846_),
+ sky130_fd_sc_hd__or3_1 _08552_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
+    .B(_05572_),
+    .C(_05566_),
+    .X(_05573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09075_ (.A(_05817_),
-    .X(_05847_),
+ sky130_fd_sc_hd__mux2_1 _08553_ (.A0(\u_uart_core.si_ss ),
+    .A1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .S(_05573_),
+    .X(_05574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09076_ (.A(_05846_),
-    .B(_05847_),
-    .C(_05836_),
-    .X(_05848_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09077_ (.A0(_05839_),
-    .A1(_05845_),
-    .S(_05848_),
+ sky130_fd_sc_hd__clkbuf_1 _08554_ (.A(_05574_),
     .X(_02720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09078_ (.A(\u_uart_core.rx_fifo_wr_data[4] ),
-    .X(_05849_),
+ sky130_fd_sc_hd__or3_1 _08555_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
+    .B(\u_uart_core.u_rxfsm.cnt[0] ),
+    .C(_05566_),
+    .X(_05575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09079_ (.A(_05846_),
-    .B(_05843_),
-    .C(_05836_),
-    .X(_05850_),
+ sky130_fd_sc_hd__mux2_1 _08556_ (.A0(\u_uart_core.si_ss ),
+    .A1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .S(_05575_),
+    .X(_05576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09080_ (.A0(_05839_),
-    .A1(_05849_),
-    .S(_05850_),
+ sky130_fd_sc_hd__clkbuf_1 _08557_ (.A(_05576_),
     .X(_02719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09081_ (.A(_05838_),
-    .X(_05851_),
+ sky130_fd_sc_hd__or2_1 _08558_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
+    .B(_05565_),
+    .X(_05577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09082_ (.A(\u_uart_core.rx_fifo_wr_data[3] ),
-    .X(_05852_),
+ sky130_fd_sc_hd__or3_1 _08559_ (.A(_05569_),
+    .B(_05549_),
+    .C(_05577_),
+    .X(_05578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09083_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
-    .B(_05835_),
-    .X(_05853_),
+ sky130_fd_sc_hd__mux2_1 _08560_ (.A0(\u_uart_core.si_ss ),
+    .A1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .S(_05578_),
+    .X(_05579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09084_ (.A(_05842_),
-    .B(_05817_),
-    .C(_05853_),
-    .X(_05854_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09085_ (.A0(_05851_),
-    .A1(_05852_),
-    .S(_05854_),
+ sky130_fd_sc_hd__clkbuf_1 _08561_ (.A(_05579_),
     .X(_02718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09086_ (.A(\u_uart_core.rx_fifo_wr_data[2] ),
-    .X(_05855_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09087_ (.A(_05842_),
+ sky130_fd_sc_hd__or3_1 _08562_ (.A(_05569_),
     .B(\u_uart_core.u_rxfsm.cnt[0] ),
-    .C(_05853_),
-    .X(_05856_),
+    .C(_05577_),
+    .X(_05580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09088_ (.A0(_05851_),
-    .A1(_05855_),
-    .S(_05856_),
+ sky130_fd_sc_hd__mux2_1 _08563_ (.A0(\u_uart_core.si_ss ),
+    .A1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .S(_05580_),
+    .X(_05581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _08564_ (.A(_05581_),
     .X(_02717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09089_ (.A(\u_uart_core.rx_fifo_wr_data[1] ),
-    .X(_05857_),
+ sky130_fd_sc_hd__or3_1 _08565_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
+    .B(_05549_),
+    .C(_05577_),
+    .X(_05582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09090_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
-    .B(_05817_),
-    .C(_05853_),
-    .X(_05858_),
+ sky130_fd_sc_hd__mux2_1 _08566_ (.A0(\u_uart_core.si_ss ),
+    .A1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .S(_05582_),
+    .X(_05583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09091_ (.A0(_05851_),
-    .A1(_05857_),
-    .S(_05858_),
+ sky130_fd_sc_hd__clkbuf_1 _08567_ (.A(_05583_),
     .X(_02716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09092_ (.A(\u_uart_core.rx_fifo_wr_data[0] ),
-    .X(_05859_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09093_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
+ sky130_fd_sc_hd__or3_1 _08568_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
     .B(\u_uart_core.u_rxfsm.cnt[0] ),
-    .C(_05853_),
-    .X(_05860_),
+    .C(_05577_),
+    .X(_05584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09094_ (.A0(_05851_),
-    .A1(_05859_),
-    .S(_05860_),
+ sky130_fd_sc_hd__mux2_1 _08569_ (.A0(\u_uart_core.si_ss ),
+    .A1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .S(_05584_),
+    .X(_05585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _08570_ (.A(_05585_),
     .X(_02715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09095_ (.A(_05821_),
-    .X(_05861_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08571_ (.A(_05552_),
+    .X(_05586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09096_ (.A(_05861_),
-    .B(_05840_),
-    .Y(_05862_),
+ sky130_fd_sc_hd__nor2_1 _08572_ (.A(_05586_),
+    .B(_05568_),
+    .Y(_05587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09097_ (.A(_05813_),
-    .X(_05863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09098_ (.A1(_00119_),
-    .A2(_05862_),
-    .B1(_05863_),
-    .B2(_05840_),
+ sky130_fd_sc_hd__o22a_1 _08573_ (.A1(_00119_),
+    .A2(_05587_),
+    .B1(\u_uart_core.rx_fifo_wr ),
+    .B2(_05568_),
     .X(_02714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09099_ (.A(\u_uart_core.si_ss ),
-    .Y(_05864_),
+ sky130_fd_sc_hd__inv_2 _08574_ (.A(\u_uart_core.si_ss ),
+    .Y(_05588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09100_ (.A(_05815_),
-    .B(_05816_),
-    .C(_05818_),
-    .X(_05865_),
+ sky130_fd_sc_hd__or3_1 _08575_ (.A(_05547_),
+    .B(_05548_),
+    .C(_05550_),
+    .X(_05589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09101_ (.A(_05865_),
-    .Y(_05866_),
+ sky130_fd_sc_hd__inv_2 _08576_ (.A(_05589_),
+    .Y(_05590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09102_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
-    .Y(_05867_),
+ sky130_fd_sc_hd__inv_2 _08577_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .Y(_05591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2111a_1 _09103_ (.A1(_05864_),
-    .A2(_05866_),
-    .B1(_05867_),
-    .C1(_05819_),
-    .D1(_05820_),
-    .X(_05868_),
+ sky130_fd_sc_hd__o2111a_1 _08578_ (.A1(_05588_),
+    .A2(_05590_),
+    .B1(_05591_),
+    .C1(_05551_),
+    .D1(\u_uart_core.u_rxfsm.rxstate[0] ),
+    .X(_05592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09104_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
-    .X(_05869_),
+ sky130_fd_sc_hd__nor2_1 _08579_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .B(\u_uart_core.u_rxfsm.rxstate[0] ),
+    .Y(_05593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09105_ (.A(_05869_),
-    .X(_05870_),
+ sky130_fd_sc_hd__inv_2 _08580_ (.A(_05564_),
+    .Y(_05594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09106_ (.A(_05820_),
-    .X(_05871_),
+ sky130_fd_sc_hd__or2_1 _08581_ (.A(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .B(\u_uart_core.u_rxfsm.rxstate[0] ),
+    .X(_05595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09107_ (.A(_05870_),
-    .B(_05871_),
-    .Y(_05872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09108_ (.A(_05834_),
-    .Y(_05873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09109_ (.A(\u_uart_core.u_rxfsm.rxstate[1] ),
-    .B(_05820_),
+ sky130_fd_sc_hd__clkbuf_1 _08582_ (.A(_05595_),
     .X(_00212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _09110_ (.A(_05869_),
+ sky130_fd_sc_hd__or2_1 _08583_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
     .B(_00212_),
-    .X(_05874_),
+    .X(_05596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09111_ (.A(\u_uart_core.rx_fifo_wr_full ),
-    .Y(_05875_),
+ sky130_fd_sc_hd__inv_2 _08584_ (.A(\u_uart_core.rx_fifo_wr_full ),
+    .Y(_05597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _09112_ (.A(_05875_),
+ sky130_fd_sc_hd__and3_1 _08585_ (.A(_05597_),
     .B(\u_uart_core.cfg_rx_enable ),
-    .C(_05864_),
-    .X(_05876_),
+    .C(_05588_),
+    .X(_05598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09113_ (.A1(_05861_),
-    .A2(_05873_),
-    .B1(_05874_),
-    .B2(_05876_),
-    .X(_05877_),
+ sky130_fd_sc_hd__o22a_1 _08586_ (.A1(_05586_),
+    .A2(_05594_),
+    .B1(_05596_),
+    .B2(_05598_),
+    .X(_05599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _09114_ (.A1(_05868_),
-    .A2(_05872_),
-    .B1(_05877_),
-    .Y(_05878_),
+ sky130_fd_sc_hd__o21ai_2 _08587_ (.A1(_05592_),
+    .A2(_05593_),
+    .B1(_05599_),
+    .Y(_05600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09115_ (.A(_05878_),
-    .X(_05879_),
+ sky130_fd_sc_hd__clkbuf_1 _08588_ (.A(_05600_),
+    .X(_05601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09116_ (.A(\u_uart_core.u_rxfsm.rxstate[1] ),
-    .X(_05880_),
+ sky130_fd_sc_hd__inv_2 _08589_ (.A(\u_uart_core.u_rxfsm.rxstate[0] ),
+    .Y(_05602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09117_ (.A(\u_uart_core.u_rxfsm.rxstate[0] ),
-    .Y(_05881_),
+ sky130_fd_sc_hd__o31a_1 _08590_ (.A1(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .A2(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .A3(_05602_),
+    .B1(_05586_),
+    .X(_05603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31a_1 _09118_ (.A1(_05870_),
-    .A2(_05880_),
-    .A3(_05881_),
-    .B1(_05861_),
-    .X(_05882_),
+ sky130_fd_sc_hd__nor2_1 _08591_ (.A(_05590_),
+    .B(_05603_),
+    .Y(_05604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09119_ (.A(_05866_),
-    .B(_05882_),
-    .Y(_05883_),
+ sky130_fd_sc_hd__nor3_1 _08592_ (.A(_05569_),
+    .B(_05572_),
+    .C(_05600_),
+    .Y(_05605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _09120_ (.A(_05842_),
-    .B(_05847_),
-    .C(_05878_),
-    .Y(_05884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09121_ (.A1(_05879_),
-    .A2(_05883_),
+ sky130_fd_sc_hd__o22a_1 _08593_ (.A1(_05601_),
+    .A2(_05604_),
     .B1(\u_uart_core.u_rxfsm.cnt[2] ),
-    .B2(_05884_),
+    .B2(_05605_),
     .X(_02713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09122_ (.A(_05847_),
-    .B(_05878_),
-    .Y(_05885_),
+ sky130_fd_sc_hd__nor2_1 _08594_ (.A(_05572_),
+    .B(_05600_),
+    .Y(_05606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _09123_ (.A1(_05846_),
-    .A2(_05843_),
-    .B1(_05882_),
-    .Y(_05886_),
+ sky130_fd_sc_hd__a21oi_1 _08595_ (.A1(\u_uart_core.u_rxfsm.cnt[1] ),
+    .A2(\u_uart_core.u_rxfsm.cnt[0] ),
+    .B1(_05603_),
+    .Y(_05607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09124_ (.A1(_05846_),
-    .A2(_05885_),
-    .B1(_05879_),
-    .B2(_05886_),
+ sky130_fd_sc_hd__o22a_1 _08596_ (.A1(\u_uart_core.u_rxfsm.cnt[1] ),
+    .A2(_05606_),
+    .B1(_05601_),
+    .B2(_05607_),
     .X(_02712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09125_ (.A(_00212_),
-    .Y(_05887_),
+ sky130_fd_sc_hd__inv_2 _08597_ (.A(_00212_),
+    .Y(_05608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09126_ (.A(_05887_),
-    .B(_05879_),
-    .Y(_05888_),
+ sky130_fd_sc_hd__nor2_1 _08598_ (.A(_05608_),
+    .B(_05601_),
+    .Y(_05609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09127_ (.A1(_05847_),
-    .A2(_05879_),
-    .B1(_05843_),
-    .B2(_05888_),
+ sky130_fd_sc_hd__o22a_1 _08599_ (.A1(_05572_),
+    .A2(_05601_),
+    .B1(\u_uart_core.u_rxfsm.cnt[0] ),
+    .B2(_05609_),
     .X(_02711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09128_ (.A(_05874_),
-    .Y(_05889_),
+ sky130_fd_sc_hd__inv_2 _08600_ (.A(_05596_),
+    .Y(_05610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09129_ (.A(_05889_),
-    .X(_05890_),
+ sky130_fd_sc_hd__clkbuf_2 _08601_ (.A(_05610_),
+    .X(_05611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09130_ (.A(_05876_),
-    .X(_05891_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08602_ (.A(_05598_),
+    .X(_05612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09131_ (.A(_05889_),
-    .B(_05876_),
-    .Y(_05892_),
+ sky130_fd_sc_hd__nand2_1 _08603_ (.A(_05610_),
+    .B(_05598_),
+    .Y(_05613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09132_ (.A1(_05890_),
-    .A2(_05891_),
-    .A3(_05828_),
+ sky130_fd_sc_hd__a32o_1 _08604_ (.A1(_05611_),
+    .A2(_05612_),
+    .A3(_05558_),
     .B1(\u_uart_core.u_rxfsm.rxpos[3] ),
-    .B2(_05892_),
+    .B2(_05613_),
     .X(_02710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09133_ (.A1(_05890_),
-    .A2(_05891_),
-    .A3(_05822_),
+ sky130_fd_sc_hd__a32o_1 _08605_ (.A1(_05611_),
+    .A2(_05612_),
+    .A3(\u_uart_core.u_rxfsm.offset[2] ),
     .B1(\u_uart_core.u_rxfsm.rxpos[2] ),
-    .B2(_05892_),
+    .B2(_05613_),
     .X(_02709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09134_ (.A1(_05890_),
-    .A2(_05891_),
+ sky130_fd_sc_hd__a32o_1 _08606_ (.A1(_05611_),
+    .A2(_05612_),
     .A3(\u_uart_core.u_rxfsm.offset[1] ),
     .B1(\u_uart_core.u_rxfsm.rxpos[1] ),
-    .B2(_05892_),
+    .B2(_05613_),
     .X(_02708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09135_ (.A1(_05889_),
-    .A2(_05891_),
+ sky130_fd_sc_hd__a32o_1 _08607_ (.A1(_05610_),
+    .A2(_05612_),
     .A3(\u_uart_core.u_rxfsm.offset[0] ),
     .B1(\u_uart_core.u_rxfsm.rxpos[0] ),
-    .B2(_05892_),
+    .B2(_05613_),
     .X(_02707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09136_ (.A(_05867_),
-    .X(_05893_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08608_ (.A(_05591_),
+    .X(_05614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _09137_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__nor2_2 _08609_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .B(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .Y(_05894_),
+    .Y(_05615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09138_ (.A(_05871_),
-    .B(_05894_),
-    .X(_05895_),
+ sky130_fd_sc_hd__or2_1 _08610_ (.A(\u_uart_core.u_rxfsm.rxstate[0] ),
+    .B(_05615_),
+    .X(_05616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _09139_ (.A(_05869_),
+ sky130_fd_sc_hd__and4_1 _08611_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
     .B(\u_uart_core.cfg_stop_bit ),
     .C(\u_uart_core.si_ss ),
-    .D(_05887_),
-    .X(_05896_),
+    .D(_05608_),
+    .X(_05617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _09140_ (.A1(_05893_),
-    .A2(_05880_),
-    .A3(_05895_),
-    .B1(_05896_),
-    .X(_05897_),
+ sky130_fd_sc_hd__a31o_1 _08612_ (.A1(_05614_),
+    .A2(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .A3(_05616_),
+    .B1(_05617_),
+    .X(_05618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _09141_ (.A1(_05870_),
-    .A2(_05871_),
+ sky130_fd_sc_hd__o21ai_1 _08613_ (.A1(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .A2(\u_uart_core.u_rxfsm.rxstate[0] ),
     .B1(_00119_),
-    .Y(_05898_),
+    .Y(_05619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09142_ (.A(\u_uart_core.si_ss ),
-    .B(_05865_),
-    .Y(_05899_),
+ sky130_fd_sc_hd__nor2_1 _08614_ (.A(\u_uart_core.si_ss ),
+    .B(_05589_),
+    .Y(_05620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09143_ (.A(_05868_),
-    .Y(_05900_),
+ sky130_fd_sc_hd__inv_2 _08615_ (.A(_05592_),
+    .Y(_05621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09144_ (.A(_05819_),
-    .B(_05881_),
+ sky130_fd_sc_hd__or2_1 _08616_ (.A(_05551_),
+    .B(_05602_),
+    .X(_05622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _08617_ (.A(_05622_),
     .X(_00213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09145_ (.A(_05869_),
+ sky130_fd_sc_hd__or2_1 _08618_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
     .B(_00213_),
-    .X(_05901_),
+    .X(_05623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09146_ (.A1(_05867_),
-    .A2(_05880_),
-    .B1(_05901_),
-    .X(_05902_),
+ sky130_fd_sc_hd__o21a_1 _08619_ (.A1(_05591_),
+    .A2(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .B1(_05623_),
+    .X(_05624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09147_ (.A1(_05899_),
-    .A2(_05900_),
-    .B1(_05873_),
-    .B2(_05902_),
-    .X(_05903_),
+ sky130_fd_sc_hd__o22a_1 _08620_ (.A1(_05620_),
+    .A2(_05621_),
+    .B1(_05594_),
+    .B2(_05624_),
+    .X(_05625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _09148_ (.A1(_05835_),
-    .A2(_05866_),
-    .B1(_05877_),
-    .C1(_05903_),
-    .X(_05904_),
+ sky130_fd_sc_hd__o211a_1 _08621_ (.A1(_05565_),
+    .A2(_05590_),
+    .B1(_05599_),
+    .C1(_05625_),
+    .X(_05626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09149_ (.A(_05904_),
-    .Y(_05905_),
+ sky130_fd_sc_hd__inv_2 _08622_ (.A(_05626_),
+    .Y(_05627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09150_ (.A1(_05897_),
-    .A2(_05898_),
-    .A3(_05904_),
-    .B1(_05870_),
-    .B2(_05905_),
+ sky130_fd_sc_hd__a32o_1 _08623_ (.A1(_05618_),
+    .A2(_05619_),
+    .A3(_05626_),
+    .B1(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .B2(_05627_),
     .X(_02706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09151_ (.A(_05861_),
-    .B(_05894_),
-    .X(_05906_),
+ sky130_fd_sc_hd__or2_1 _08624_ (.A(_05586_),
+    .B(_05615_),
+    .X(_05628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _09152_ (.A(_05900_),
-    .B(_05906_),
-    .X(_05907_),
+ sky130_fd_sc_hd__and2_1 _08625_ (.A(_05621_),
+    .B(_05628_),
+    .X(_05629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _09153_ (.A1(_05819_),
-    .A2(_05904_),
-    .B1(_05905_),
-    .B2(_05907_),
+ sky130_fd_sc_hd__o22ai_1 _08626_ (.A1(_05551_),
+    .A2(_05626_),
+    .B1(_05627_),
+    .B2(_05629_),
     .Y(_02705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _09154_ (.A(_05889_),
-    .B(_05896_),
-    .C_N(_05906_),
-    .X(_05908_),
+ sky130_fd_sc_hd__or3b_1 _08627_ (.A(_05610_),
+    .B(_05617_),
+    .C_N(_05628_),
+    .X(_05630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09155_ (.A1(_05898_),
-    .A2(_05908_),
-    .A3(_05904_),
-    .B1(_05871_),
-    .B2(_05905_),
+ sky130_fd_sc_hd__a32o_1 _08628_ (.A1(_05619_),
+    .A2(_05630_),
+    .A3(_05626_),
+    .B1(\u_uart_core.u_rxfsm.rxstate[0] ),
+    .B2(_05627_),
     .X(_02704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09156_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
-    .X(_05909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09157_ (.A(_05909_),
-    .X(_05910_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09158_ (.A1(\u_usb_host.u_core.u_sie.data_valid_q[3] ),
+ sky130_fd_sc_hd__o21a_1 _08629_ (.A1(\u_usb_host.u_core.u_sie.data_valid_q[3] ),
     .A2(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
-    .B1(_05910_),
+    .B1(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .X(_02703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09159_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
-    .Y(_05911_),
+ sky130_fd_sc_hd__clkinv_2 _08630_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+    .Y(_05631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _09160_ (.A(_05911_),
+ sky130_fd_sc_hd__or2_1 _08631_ (.A(_05631_),
     .B(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
-    .X(_05912_),
+    .X(_05632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09161_ (.A(_05912_),
-    .X(_05913_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08632_ (.A(_05632_),
+    .X(_05633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09162_ (.A(_05912_),
-    .Y(_05914_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08633_ (.A(_05633_),
+    .X(_05634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09163_ (.A(_05914_),
-    .X(_05915_),
+ sky130_fd_sc_hd__inv_2 _08634_ (.A(_05632_),
+    .Y(_05635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09164_ (.A(_05915_),
-    .X(_05916_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08635_ (.A(_05635_),
+    .X(_05636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09165_ (.A1(\u_usb_host.u_core.u_sie.data_valid_q[3] ),
-    .A2(_05913_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08636_ (.A(_05636_),
+    .X(_05637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08637_ (.A(_05637_),
+    .X(_05638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _08638_ (.A1(\u_usb_host.u_core.u_sie.data_valid_q[3] ),
+    .A2(_05634_),
     .B1(\u_usb_host.u_core.u_sie.data_valid_q[2] ),
-    .B2(_05916_),
+    .B2(_05638_),
     .X(_02702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09166_ (.A1(\u_usb_host.u_core.u_sie.data_valid_q[2] ),
-    .A2(_05913_),
+ sky130_fd_sc_hd__a22o_1 _08639_ (.A1(\u_usb_host.u_core.u_sie.data_valid_q[2] ),
+    .A2(_05634_),
     .B1(\u_usb_host.u_core.u_sie.data_valid_q[1] ),
-    .B2(_05916_),
+    .B2(_05638_),
     .X(_02701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09167_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
-    .A2(_05913_),
+ sky130_fd_sc_hd__a22o_1 _08640_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
+    .A2(_05634_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[31] ),
-    .B2(_05916_),
+    .B2(_05638_),
     .X(_02700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09168_ (.A(_05912_),
-    .X(_05917_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09169_ (.A(_05917_),
-    .X(_05918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09170_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
-    .A2(_05918_),
+ sky130_fd_sc_hd__a22o_1 _08641_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
+    .A2(_05634_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[30] ),
-    .B2(_05916_),
+    .B2(_05638_),
     .X(_02699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09171_ (.A(_05914_),
-    .X(_05919_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08642_ (.A(_05633_),
+    .X(_05639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09172_ (.A(_05919_),
-    .X(_05920_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08643_ (.A(_05635_),
+    .X(_05640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09173_ (.A(_05920_),
-    .X(_05921_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08644_ (.A(_05640_),
+    .X(_05641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09174_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
-    .A2(_05918_),
+ sky130_fd_sc_hd__a22o_1 _08645_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
+    .A2(_05639_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[29] ),
-    .B2(_05921_),
+    .B2(_05641_),
     .X(_02698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09175_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
-    .A2(_05918_),
+ sky130_fd_sc_hd__a22o_1 _08646_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
+    .A2(_05639_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[28] ),
-    .B2(_05921_),
+    .B2(_05641_),
     .X(_02697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09176_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
-    .A2(_05918_),
+ sky130_fd_sc_hd__a22o_1 _08647_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
+    .A2(_05639_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[27] ),
-    .B2(_05921_),
+    .B2(_05641_),
     .X(_02696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09177_ (.A(_05917_),
-    .X(_05922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09178_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
-    .A2(_05922_),
+ sky130_fd_sc_hd__a22o_1 _08648_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
+    .A2(_05639_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[26] ),
-    .B2(_05921_),
+    .B2(_05641_),
     .X(_02695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09179_ (.A(_05920_),
-    .X(_05923_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08649_ (.A(_05633_),
+    .X(_05642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09180_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
-    .A2(_05922_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08650_ (.A(_05640_),
+    .X(_05643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _08651_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
+    .A2(_05642_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[25] ),
-    .B2(_05923_),
+    .B2(_05643_),
     .X(_02694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09181_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
-    .A2(_05922_),
+ sky130_fd_sc_hd__a22o_1 _08652_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
+    .A2(_05642_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[24] ),
-    .B2(_05923_),
+    .B2(_05643_),
     .X(_02693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09182_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[31] ),
-    .A2(_05922_),
+ sky130_fd_sc_hd__a22o_1 _08653_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[31] ),
+    .A2(_05642_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[23] ),
-    .B2(_05923_),
+    .B2(_05643_),
     .X(_02692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09183_ (.A(_05917_),
-    .X(_05924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09184_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[30] ),
-    .A2(_05924_),
+ sky130_fd_sc_hd__a22o_1 _08654_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[30] ),
+    .A2(_05642_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[22] ),
-    .B2(_05923_),
+    .B2(_05643_),
     .X(_02691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09185_ (.A(_05920_),
-    .X(_05925_),
+ sky130_fd_sc_hd__clkbuf_1 _08655_ (.A(_05633_),
+    .X(_05644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09186_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[29] ),
-    .A2(_05924_),
+ sky130_fd_sc_hd__clkbuf_1 _08656_ (.A(_05640_),
+    .X(_05645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _08657_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[29] ),
+    .A2(_05644_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[21] ),
-    .B2(_05925_),
+    .B2(_05645_),
     .X(_02690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09187_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[28] ),
-    .A2(_05924_),
+ sky130_fd_sc_hd__a22o_1 _08658_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[28] ),
+    .A2(_05644_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[20] ),
-    .B2(_05925_),
+    .B2(_05645_),
     .X(_02689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09188_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[27] ),
-    .A2(_05924_),
+ sky130_fd_sc_hd__a22o_1 _08659_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[27] ),
+    .A2(_05644_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[19] ),
-    .B2(_05925_),
+    .B2(_05645_),
     .X(_02688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09189_ (.A(_05917_),
-    .X(_05926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09190_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[26] ),
-    .A2(_05926_),
+ sky130_fd_sc_hd__a22o_1 _08660_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[26] ),
+    .A2(_05644_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[18] ),
-    .B2(_05925_),
+    .B2(_05645_),
     .X(_02687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09191_ (.A(_05920_),
-    .X(_05927_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08661_ (.A(_05632_),
+    .X(_05646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09192_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[25] ),
-    .A2(_05926_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08662_ (.A(_05646_),
+    .X(_05647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08663_ (.A(_05640_),
+    .X(_05648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _08664_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[25] ),
+    .A2(_05647_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[17] ),
-    .B2(_05927_),
+    .B2(_05648_),
     .X(_02686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09193_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[24] ),
-    .A2(_05926_),
+ sky130_fd_sc_hd__a22o_1 _08665_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[24] ),
+    .A2(_05647_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[16] ),
-    .B2(_05927_),
+    .B2(_05648_),
     .X(_02685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09194_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[23] ),
-    .A2(_05926_),
+ sky130_fd_sc_hd__a22o_1 _08666_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[23] ),
+    .A2(_05647_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[15] ),
-    .B2(_05927_),
+    .B2(_05648_),
     .X(_02684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09195_ (.A(_05912_),
-    .X(_05928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09196_ (.A(_05928_),
-    .X(_05929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09197_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[22] ),
-    .A2(_05929_),
+ sky130_fd_sc_hd__a22o_1 _08667_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[22] ),
+    .A2(_05647_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[14] ),
-    .B2(_05927_),
+    .B2(_05648_),
     .X(_02683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09198_ (.A(_05919_),
-    .X(_05930_),
+ sky130_fd_sc_hd__clkbuf_1 _08668_ (.A(_05646_),
+    .X(_05649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09199_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[21] ),
-    .A2(_05929_),
+ sky130_fd_sc_hd__clkbuf_1 _08669_ (.A(_05636_),
+    .X(_05650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _08670_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[21] ),
+    .A2(_05649_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[13] ),
-    .B2(_05930_),
+    .B2(_05650_),
     .X(_02682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09200_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[20] ),
-    .A2(_05929_),
+ sky130_fd_sc_hd__a22o_1 _08671_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[20] ),
+    .A2(_05649_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[12] ),
-    .B2(_05930_),
+    .B2(_05650_),
     .X(_02681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09201_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[19] ),
-    .A2(_05929_),
+ sky130_fd_sc_hd__a22o_1 _08672_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[19] ),
+    .A2(_05649_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[11] ),
-    .B2(_05930_),
+    .B2(_05650_),
     .X(_02680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09202_ (.A(_05928_),
-    .X(_05931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09203_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[18] ),
-    .A2(_05931_),
+ sky130_fd_sc_hd__a22o_1 _08673_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[18] ),
+    .A2(_05649_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[10] ),
-    .B2(_05930_),
+    .B2(_05650_),
     .X(_02679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09204_ (.A(_05919_),
-    .X(_05932_),
+ sky130_fd_sc_hd__clkbuf_1 _08674_ (.A(_05646_),
+    .X(_05651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09205_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[17] ),
-    .A2(_05931_),
+ sky130_fd_sc_hd__clkbuf_1 _08675_ (.A(_05636_),
+    .X(_05652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _08676_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[17] ),
+    .A2(_05651_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[9] ),
-    .B2(_05932_),
+    .B2(_05652_),
     .X(_02678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09206_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[16] ),
-    .A2(_05931_),
+ sky130_fd_sc_hd__a22o_1 _08677_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[16] ),
+    .A2(_05651_),
     .B1(\u_usb_host.u_core.u_sie.data_buffer_q[8] ),
-    .B2(_05932_),
+    .B2(_05652_),
     .X(_02677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09207_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
-    .X(_05933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09208_ (.A(_05933_),
-    .X(_05934_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09209_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[15] ),
-    .A2(_05931_),
-    .B1(_05934_),
-    .B2(_05932_),
+ sky130_fd_sc_hd__a22o_1 _08678_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[15] ),
+    .A2(_05651_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+    .B2(_05652_),
     .X(_02676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09210_ (.A(_05928_),
-    .X(_05935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09211_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
-    .X(_05936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09212_ (.A(_05936_),
-    .X(_05937_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09213_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[14] ),
-    .A2(_05935_),
-    .B1(_05937_),
-    .B2(_05932_),
+ sky130_fd_sc_hd__a22o_1 _08679_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[14] ),
+    .A2(_05651_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+    .B2(_05652_),
     .X(_02675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09214_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
-    .X(_05938_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08680_ (.A(_05646_),
+    .X(_05653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09215_ (.A(_05938_),
-    .X(_05939_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08681_ (.A(_05636_),
+    .X(_05654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09216_ (.A(_05919_),
-    .X(_05940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09217_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[13] ),
-    .A2(_05935_),
-    .B1(_05939_),
-    .B2(_05940_),
+ sky130_fd_sc_hd__a22o_1 _08682_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[13] ),
+    .A2(_05653_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+    .B2(_05654_),
     .X(_02674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09218_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
-    .X(_05941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09219_ (.A(_05941_),
-    .X(_05942_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09220_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[12] ),
-    .A2(_05935_),
-    .B1(_05942_),
-    .B2(_05940_),
+ sky130_fd_sc_hd__a22o_1 _08683_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[12] ),
+    .A2(_05653_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_05654_),
     .X(_02673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09221_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
-    .X(_05943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09222_ (.A(_05943_),
-    .X(_05944_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09223_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[11] ),
-    .A2(_05935_),
-    .B1(_05944_),
-    .B2(_05940_),
+ sky130_fd_sc_hd__a22o_1 _08684_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[11] ),
+    .A2(_05653_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+    .B2(_05654_),
     .X(_02672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09224_ (.A(_05928_),
-    .X(_05945_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09225_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
-    .X(_05946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09226_ (.A(_05946_),
-    .X(_05947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09227_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[10] ),
-    .A2(_05945_),
-    .B1(_05947_),
-    .B2(_05940_),
+ sky130_fd_sc_hd__a22o_1 _08685_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[10] ),
+    .A2(_05653_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+    .B2(_05654_),
     .X(_02671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09228_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
-    .X(_05948_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08686_ (.A(_05632_),
+    .X(_05655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09229_ (.A(_05948_),
-    .X(_05949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09230_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[9] ),
-    .A2(_05945_),
-    .B1(_05949_),
-    .B2(_05915_),
+ sky130_fd_sc_hd__a22o_1 _08687_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[9] ),
+    .A2(_05655_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+    .B2(_05637_),
     .X(_02670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09231_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
-    .X(_05950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09232_ (.A(_05950_),
-    .X(_05951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09233_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[8] ),
-    .A2(_05945_),
-    .B1(_05951_),
-    .B2(_05915_),
+ sky130_fd_sc_hd__a22o_1 _08688_ (.A1(\u_usb_host.u_core.u_sie.data_buffer_q[8] ),
+    .A2(_05655_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_05637_),
     .X(_02669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09234_ (.A(\u_usb_host.u_core.transfer_start_q ),
-    .Y(_05952_),
+ sky130_fd_sc_hd__inv_2 _08689_ (.A(\u_usb_host.u_core.transfer_start_q ),
+    .Y(_05656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09235_ (.A(\u_usb_host.u_core.u_sie.state_q[2] ),
-    .X(_05953_),
+ sky130_fd_sc_hd__or2_1 _08690_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .B(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .X(_05657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09236_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
-    .X(_05954_),
+ sky130_fd_sc_hd__or3_2 _08691_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .C(_05657_),
+    .X(_05658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09237_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
-    .B(_05954_),
-    .X(_05955_),
+ sky130_fd_sc_hd__or2_1 _08692_ (.A(_05656_),
+    .B(_05658_),
+    .X(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09238_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
-    .B(_05953_),
-    .C(_05955_),
-    .X(_05956_),
+ sky130_fd_sc_hd__inv_2 _08693_ (.A(_05659_),
+    .Y(_05660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09239_ (.A(_05952_),
-    .B(_05956_),
-    .X(_05957_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08694_ (.A(_05660_),
+    .X(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09240_ (.A(_05957_),
-    .X(_05958_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08695_ (.A(_05659_),
+    .X(_05662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09241_ (.A(_05958_),
-    .Y(_05959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09242_ (.A(_05959_),
-    .X(_05960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09243_ (.A(_05958_),
-    .X(_05961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09244_ (.A1(\u_usb_host.u_core.in_transfer_q ),
-    .A2(_05960_),
+ sky130_fd_sc_hd__a22o_1 _08696_ (.A1(\u_usb_host.u_core.in_transfer_q ),
+    .A2(_05661_),
     .B1(\u_usb_host.u_core.u_sie.in_transfer_q ),
-    .B2(_05961_),
+    .B2(_05662_),
     .X(_02668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09245_ (.A1(\u_usb_host.u_core.u_sie.send_data1_q ),
-    .A2(_05961_),
+ sky130_fd_sc_hd__a22o_1 _08697_ (.A1(\u_usb_host.u_core.u_sie.send_data1_q ),
+    .A2(_05662_),
     .B1(\u_usb_host.u_core.u_sie.data_idx_i ),
-    .B2(_05960_),
+    .B2(_05661_),
     .X(_02667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09246_ (.A(\u_usb_host.u_core.sof_transfer_q ),
-    .B(_05957_),
-    .X(_05962_),
+ sky130_fd_sc_hd__or2_1 _08698_ (.A(\u_usb_host.u_core.sof_transfer_q ),
+    .B(_05659_),
+    .X(_05663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09247_ (.A1(\u_usb_host.u_core.u_sie.send_sof_q ),
-    .A2(_05960_),
-    .B1(_05962_),
+ sky130_fd_sc_hd__clkbuf_4 _08699_ (.A(_05663_),
+    .X(_05664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _08700_ (.A1(\u_usb_host.u_core.u_sie.send_sof_q ),
+    .A2(_05661_),
+    .B1(_05664_),
     .X(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09248_ (.A1(\u_usb_host.u_core.in_transfer_q ),
-    .A2(_05960_),
+ sky130_fd_sc_hd__a32o_1 _08701_ (.A1(\u_usb_host.u_core.in_transfer_q ),
+    .A2(_05660_),
     .A3(\u_usb_host.u_core.resp_expected_q ),
     .B1(\u_usb_host.u_core.u_sie.send_ack_q ),
-    .B2(_05961_),
+    .B2(_05662_),
     .X(_02665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09249_ (.A(\u_usb_host.u_async_wb.wbs_ack_f ),
-    .B(_05582_),
-    .C(net184),
+ sky130_fd_sc_hd__or4_2 _08702_ (.A(\u_usb_host.u_async_wb.wbs_ack_f ),
+    .B(_05348_),
+    .C(_00236_),
     .D(\u_usb_host.reg_ack ),
-    .X(_05963_),
+    .X(_05665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09250_ (.A(_05963_),
-    .X(_05964_),
+ sky130_fd_sc_hd__clkbuf_1 _08703_ (.A(_05665_),
+    .X(_05666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09251_ (.A(_05964_),
-    .X(_05965_),
+ sky130_fd_sc_hd__buf_2 _08704_ (.A(_05666_),
+    .X(_05667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09252_ (.A(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
-    .Y(_05966_),
+ sky130_fd_sc_hd__clkbuf_2 _08705_ (.A(_05667_),
+    .X(_05668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09253_ (.A(_05582_),
-    .Y(_05967_),
+ sky130_fd_sc_hd__inv_2 _08706_ (.A(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+    .Y(_05669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09254_ (.A(_05967_),
-    .X(_05968_),
+ sky130_fd_sc_hd__inv_2 _08707_ (.A(_05348_),
+    .Y(_05670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09255_ (.A(_00237_),
-    .B(_05968_),
-    .Y(_05969_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08708_ (.A(_05670_),
+    .X(_05671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09256_ (.A(_05969_),
-    .X(_05970_),
+ sky130_fd_sc_hd__nand2_1 _08709_ (.A(_00237_),
+    .B(_05671_),
+    .Y(_05672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09257_ (.A(_00238_),
-    .B(_05967_),
-    .Y(_05971_),
+ sky130_fd_sc_hd__clkbuf_1 _08710_ (.A(_05672_),
+    .X(_05673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09258_ (.A(_05971_),
-    .X(_05972_),
+ sky130_fd_sc_hd__nand2_1 _08711_ (.A(_00238_),
+    .B(_05670_),
+    .Y(_05674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09259_ (.A(_00239_),
-    .Y(_05973_),
+ sky130_fd_sc_hd__clkbuf_1 _08712_ (.A(_05674_),
+    .X(_05675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_2 _09260_ (.A1(_00240_),
-    .A2(_05968_),
-    .B1(_05973_),
-    .C1(_05583_),
-    .X(_05974_),
+ sky130_fd_sc_hd__inv_2 _08713_ (.A(_00239_),
+    .Y(_05676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09261_ (.A(_05970_),
-    .B(_05972_),
-    .C(_05974_),
-    .X(_05975_),
+ sky130_fd_sc_hd__a211o_1 _08714_ (.A1(_00240_),
+    .A2(_05671_),
+    .B1(_05676_),
+    .C1(_05349_),
+    .X(_05677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09262_ (.A(_05975_),
-    .X(_05976_),
+ sky130_fd_sc_hd__or3_4 _08715_ (.A(_05673_),
+    .B(_05675_),
+    .C(_05677_),
+    .X(_05678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09263_ (.A(_05976_),
-    .X(_05977_),
+ sky130_fd_sc_hd__clkbuf_2 _08716_ (.A(_05678_),
+    .X(_05679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09264_ (.A(\u_usb_host.u_core.sof_time_q[15] ),
-    .Y(_05978_),
+ sky130_fd_sc_hd__buf_2 _08717_ (.A(_05679_),
+    .X(_05680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09265_ (.A(_05978_),
-    .X(_05979_),
+ sky130_fd_sc_hd__inv_2 _08718_ (.A(\u_usb_host.u_core.sof_time_q[15] ),
+    .Y(_05681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09266_ (.A(_05971_),
-    .Y(_05980_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08719_ (.A(_05681_),
+    .X(_05682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09267_ (.A(_05968_),
-    .X(_05981_),
+ sky130_fd_sc_hd__inv_2 _08720_ (.A(_05674_),
+    .Y(_05683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _09268_ (.A1(_00240_),
-    .A2(_05981_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08721_ (.A(_05671_),
+    .X(_05684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _08722_ (.A1(_00240_),
+    .A2(_05684_),
     .B1(_00239_),
-    .B2(_05981_),
-    .X(_05982_),
+    .B2(_05684_),
+    .X(_05685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09269_ (.A(_05970_),
-    .B(_05980_),
-    .C(_05982_),
-    .X(_05983_),
+ sky130_fd_sc_hd__or3_4 _08723_ (.A(_05673_),
+    .B(_05683_),
+    .C(_05685_),
+    .X(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09270_ (.A(_05983_),
-    .X(_05984_),
+ sky130_fd_sc_hd__clkbuf_1 _08724_ (.A(_05686_),
+    .X(_05687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09271_ (.A1(_05966_),
-    .A2(_05977_),
-    .B1(_05979_),
-    .B2(_05984_),
-    .X(_05985_),
+ sky130_fd_sc_hd__o22a_1 _08725_ (.A1(_05669_),
+    .A2(_05680_),
+    .B1(_05682_),
+    .B2(_05687_),
+    .X(_05688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09272_ (.A1_N(_05965_),
-    .A2_N(_05985_),
+ sky130_fd_sc_hd__a2bb2o_1 _08726_ (.A1_N(_05668_),
+    .A2_N(_05688_),
     .B1(\u_usb_host.reg_rdata[31] ),
-    .B2(_05965_),
+    .B2(_05668_),
     .X(_02664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09273_ (.A(_05964_),
-    .X(_05986_),
+ sky130_fd_sc_hd__buf_2 _08727_ (.A(_05666_),
+    .X(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09274_ (.A(_05986_),
-    .X(_05987_),
+ sky130_fd_sc_hd__inv_4 _08728_ (.A(_05665_),
+    .Y(_05690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_4 _09275_ (.A(_05963_),
-    .Y(_05988_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08729_ (.A(_05690_),
+    .X(_05691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09276_ (.A(_05988_),
-    .X(_05989_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08730_ (.A(_05691_),
+    .X(_05692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09277_ (.A(_05989_),
-    .X(_05990_),
+ sky130_fd_sc_hd__inv_2 _08731_ (.A(\u_usb_host.u_core.status_crc_err_w ),
+    .Y(_05693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09278_ (.A(\u_usb_host.u_core.status_crc_err_w ),
-    .Y(_05991_),
+ sky130_fd_sc_hd__clkbuf_1 _08732_ (.A(_05678_),
+    .X(_05694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09279_ (.A(_05975_),
-    .X(_05992_),
+ sky130_fd_sc_hd__buf_2 _08733_ (.A(_05694_),
+    .X(_05695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09280_ (.A(_05992_),
-    .X(_05993_),
+ sky130_fd_sc_hd__inv_2 _08734_ (.A(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+    .Y(_05696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09281_ (.A(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
-    .Y(_05994_),
+ sky130_fd_sc_hd__inv_2 _08735_ (.A(_05672_),
+    .Y(_05697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09282_ (.A(_05969_),
-    .Y(_05995_),
+ sky130_fd_sc_hd__or3_1 _08736_ (.A(_05697_),
+    .B(_05675_),
+    .C(_05677_),
+    .X(_05698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09283_ (.A(_05995_),
-    .B(_05972_),
-    .C(_05974_),
-    .X(_05996_),
+ sky130_fd_sc_hd__clkbuf_1 _08737_ (.A(_05698_),
+    .X(_05699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09284_ (.A(_05996_),
-    .X(_05997_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08738_ (.A(_05699_),
+    .X(_05700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09285_ (.A(_05997_),
-    .X(_05998_),
+ sky130_fd_sc_hd__clkbuf_2 _08739_ (.A(_05700_),
+    .X(_05701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09286_ (.A(_05998_),
-    .X(_05999_),
+ sky130_fd_sc_hd__inv_2 _08740_ (.A(\u_usb_host.u_core.sof_time_q[14] ),
+    .Y(_05702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09287_ (.A(\u_usb_host.u_core.sof_time_q[14] ),
-    .X(_06000_),
+ sky130_fd_sc_hd__o22a_1 _08741_ (.A1(_05696_),
+    .A2(_05701_),
+    .B1(_05702_),
+    .B2(_05687_),
+    .X(_05703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09288_ (.A(_06000_),
-    .Y(_06001_),
+ sky130_fd_sc_hd__o21ai_1 _08742_ (.A1(_05693_),
+    .A2(_05695_),
+    .B1(_05703_),
+    .Y(_05704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09289_ (.A1(_05994_),
-    .A2(_05999_),
-    .B1(_06001_),
-    .B2(_05984_),
-    .X(_06002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _09290_ (.A1(_05991_),
-    .A2(_05993_),
-    .B1(_06002_),
-    .Y(_06003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09291_ (.A1(\u_usb_host.reg_rdata[30] ),
-    .A2(_05987_),
-    .B1(_05990_),
-    .B2(_06003_),
+ sky130_fd_sc_hd__a22o_1 _08743_ (.A1(\u_usb_host.reg_rdata[30] ),
+    .A2(_05689_),
+    .B1(_05692_),
+    .B2(_05704_),
     .X(_02663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09292_ (.A(\u_usb_host.u_core.status_timeout_w ),
-    .Y(_06004_),
+ sky130_fd_sc_hd__inv_2 _08744_ (.A(\u_usb_host.u_core.status_timeout_w ),
+    .Y(_05705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _09293_ (.A(_05992_),
-    .X(_06005_),
+ sky130_fd_sc_hd__clkbuf_4 _08745_ (.A(_05694_),
+    .X(_05706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09294_ (.A(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
-    .Y(_06006_),
+ sky130_fd_sc_hd__inv_2 _08746_ (.A(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+    .Y(_05707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09295_ (.A(_05999_),
-    .X(_06007_),
+ sky130_fd_sc_hd__buf_2 _08747_ (.A(_05701_),
+    .X(_05708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09296_ (.A(\u_usb_host.u_core.sof_time_q[13] ),
-    .Y(_06008_),
+ sky130_fd_sc_hd__inv_2 _08748_ (.A(\u_usb_host.u_core.sof_time_q[13] ),
+    .Y(_05709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09297_ (.A(_06008_),
-    .B(_05984_),
-    .X(_06009_),
+ sky130_fd_sc_hd__or2_1 _08749_ (.A(_05709_),
+    .B(_05687_),
+    .X(_05710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09298_ (.A1(_06004_),
-    .A2(_06005_),
-    .B1(_06006_),
-    .B2(_06007_),
-    .C1(_06009_),
-    .Y(_06010_),
+ sky130_fd_sc_hd__o221ai_2 _08750_ (.A1(_05705_),
+    .A2(_05706_),
+    .B1(_05707_),
+    .B2(_05708_),
+    .C1(_05710_),
+    .Y(_05711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09299_ (.A1(\u_usb_host.reg_rdata[29] ),
-    .A2(_05987_),
-    .B1(_05990_),
-    .B2(_06010_),
+ sky130_fd_sc_hd__a22o_1 _08751_ (.A1(\u_usb_host.reg_rdata[29] ),
+    .A2(_05689_),
+    .B1(_05692_),
+    .B2(_05711_),
     .X(_02662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09300_ (.A(_05964_),
-    .X(_06011_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08752_ (.A(_05666_),
+    .X(_05712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09301_ (.A(_06011_),
-    .X(_06012_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08753_ (.A(_05712_),
+    .X(_05713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09302_ (.A(_05956_),
-    .X(_06013_),
+ sky130_fd_sc_hd__clkbuf_4 _08754_ (.A(_05658_),
+    .X(_05714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09303_ (.A(_06013_),
-    .X(_06014_),
+ sky130_fd_sc_hd__buf_2 _08755_ (.A(_05714_),
+    .X(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09304_ (.A(\u_usb_host.u_core.u_sie.data_idx_i ),
-    .Y(_06015_),
+ sky130_fd_sc_hd__inv_2 _08756_ (.A(\u_usb_host.u_core.u_sie.data_idx_i ),
+    .Y(_05716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09305_ (.A(\u_usb_host.u_core.sof_time_q[12] ),
-    .Y(_06016_),
+ sky130_fd_sc_hd__inv_2 _08757_ (.A(\u_usb_host.u_core.sof_time_q[12] ),
+    .Y(_05717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09306_ (.A(_05983_),
-    .X(_06017_),
+ sky130_fd_sc_hd__clkbuf_1 _08758_ (.A(_05686_),
+    .X(_05718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09307_ (.A(_06016_),
-    .B(_06017_),
-    .X(_06018_),
+ sky130_fd_sc_hd__or2_1 _08759_ (.A(_05717_),
+    .B(_05718_),
+    .X(_05719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09308_ (.A1(_06014_),
-    .A2(_06005_),
-    .B1(_06015_),
-    .B2(_06007_),
-    .C1(_06018_),
-    .Y(_06019_),
+ sky130_fd_sc_hd__o221ai_4 _08760_ (.A1(_05715_),
+    .A2(_05706_),
+    .B1(_05716_),
+    .B2(_05708_),
+    .C1(_05719_),
+    .Y(_05720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09309_ (.A1(\u_usb_host.reg_rdata[28] ),
-    .A2(_06012_),
-    .B1(_05990_),
-    .B2(_06019_),
+ sky130_fd_sc_hd__a22o_1 _08761_ (.A1(\u_usb_host.reg_rdata[28] ),
+    .A2(_05713_),
+    .B1(_05692_),
+    .B2(_05720_),
     .X(_02661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09310_ (.A(_05983_),
-    .Y(_06020_),
+ sky130_fd_sc_hd__inv_2 _08762_ (.A(_05686_),
+    .Y(_05721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09311_ (.A(_06020_),
-    .X(_06021_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08763_ (.A(_05721_),
+    .X(_05722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09312_ (.A(_05988_),
-    .X(_06022_),
+ sky130_fd_sc_hd__buf_2 _08764_ (.A(_05690_),
+    .X(_05723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09313_ (.A(_06011_),
-    .X(_06023_),
+ sky130_fd_sc_hd__buf_2 _08765_ (.A(_05667_),
+    .X(_05724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09314_ (.A1(\u_usb_host.u_core.sof_time_q[11] ),
-    .A2(_06021_),
-    .A3(_06022_),
+ sky130_fd_sc_hd__a32o_1 _08766_ (.A1(\u_usb_host.u_core.sof_time_q[11] ),
+    .A2(_05722_),
+    .A3(_05723_),
     .B1(\u_usb_host.reg_rdata[27] ),
-    .B2(_06023_),
+    .B2(_05724_),
     .X(_02660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09315_ (.A(\u_usb_host.u_core.sof_time_q[10] ),
-    .X(_06024_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09316_ (.A1(_06024_),
-    .A2(_06021_),
-    .A3(_05989_),
+ sky130_fd_sc_hd__a32o_1 _08767_ (.A1(\u_usb_host.u_core.sof_time_q[10] ),
+    .A2(_05722_),
+    .A3(_05691_),
     .B1(\u_usb_host.reg_rdata[26] ),
-    .B2(_06023_),
+    .B2(_05724_),
     .X(_02659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09317_ (.A1(\u_usb_host.u_core.sof_time_q[9] ),
-    .A2(_06021_),
-    .A3(_05989_),
+ sky130_fd_sc_hd__a32o_1 _08768_ (.A1(\u_usb_host.u_core.sof_time_q[9] ),
+    .A2(_05722_),
+    .A3(_05691_),
     .B1(\u_usb_host.reg_rdata[25] ),
-    .B2(_05987_),
+    .B2(_05724_),
     .X(_02658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09318_ (.A(\u_usb_host.u_core.sof_time_q[8] ),
-    .X(_06025_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09319_ (.A1(_06025_),
-    .A2(_06021_),
-    .A3(_05989_),
+ sky130_fd_sc_hd__a32o_1 _08769_ (.A1(\u_usb_host.u_core.sof_time_q[8] ),
+    .A2(_05722_),
+    .A3(_05691_),
     .B1(\u_usb_host.reg_rdata[24] ),
-    .B2(_05987_),
+    .B2(_05667_),
     .X(_02657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09320_ (.A(\u_usb_host.u_core.status_response_w[7] ),
-    .Y(_06026_),
+ sky130_fd_sc_hd__inv_2 _08770_ (.A(\u_usb_host.u_core.status_response_w[7] ),
+    .Y(_05725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09321_ (.A(_05992_),
-    .X(_06027_),
+ sky130_fd_sc_hd__clkbuf_4 _08771_ (.A(_05694_),
+    .X(_05726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09322_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
-    .Y(_06028_),
+ sky130_fd_sc_hd__inv_2 _08772_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+    .Y(_05727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09323_ (.A(\u_usb_host.u_core.sof_time_q[7] ),
-    .Y(_06029_),
+ sky130_fd_sc_hd__inv_2 _08773_ (.A(\u_usb_host.u_core.sof_time_q[7] ),
+    .Y(_05728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09324_ (.A(_06029_),
-    .B(_06017_),
-    .X(_06030_),
+ sky130_fd_sc_hd__or2_1 _08774_ (.A(_05728_),
+    .B(_05718_),
+    .X(_05729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09325_ (.A1(_06026_),
-    .A2(_06027_),
-    .B1(_06028_),
-    .B2(_06007_),
-    .C1(_06030_),
-    .Y(_06031_),
+ sky130_fd_sc_hd__o221ai_4 _08775_ (.A1(_05725_),
+    .A2(_05726_),
+    .B1(_05727_),
+    .B2(_05708_),
+    .C1(_05729_),
+    .Y(_05730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09326_ (.A1(\u_usb_host.reg_rdata[23] ),
-    .A2(_06012_),
-    .B1(_05990_),
-    .B2(_06031_),
+ sky130_fd_sc_hd__a22o_1 _08776_ (.A1(\u_usb_host.reg_rdata[23] ),
+    .A2(_05713_),
+    .B1(_05692_),
+    .B2(_05730_),
     .X(_02656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09327_ (.A(_05988_),
-    .X(_06032_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08777_ (.A(_05690_),
+    .X(_05731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09328_ (.A(_06032_),
-    .X(_06033_),
+ sky130_fd_sc_hd__clkbuf_1 _08778_ (.A(_05731_),
+    .X(_05732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09329_ (.A(\u_usb_host.u_core.status_response_w[6] ),
-    .Y(_06034_),
+ sky130_fd_sc_hd__inv_2 _08779_ (.A(\u_usb_host.u_core.status_response_w[6] ),
+    .Y(_05733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09330_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
-    .Y(_06035_),
+ sky130_fd_sc_hd__clkinv_2 _08780_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
+    .Y(_05734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09331_ (.A(\u_usb_host.u_core.sof_time_q[6] ),
-    .Y(_06036_),
+ sky130_fd_sc_hd__inv_2 _08781_ (.A(\u_usb_host.u_core.sof_time_q[6] ),
+    .Y(_05735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09332_ (.A(_06036_),
-    .B(_06017_),
-    .X(_06037_),
+ sky130_fd_sc_hd__or2_1 _08782_ (.A(_05735_),
+    .B(_05718_),
+    .X(_05736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09333_ (.A1(_06034_),
-    .A2(_06027_),
-    .B1(_06035_),
-    .B2(_06007_),
-    .C1(_06037_),
-    .Y(_06038_),
+ sky130_fd_sc_hd__o221ai_4 _08783_ (.A1(_05733_),
+    .A2(_05726_),
+    .B1(_05734_),
+    .B2(_05708_),
+    .C1(_05736_),
+    .Y(_05737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09334_ (.A1(\u_usb_host.reg_rdata[22] ),
-    .A2(_06012_),
-    .B1(_06033_),
-    .B2(_06038_),
+ sky130_fd_sc_hd__a22o_1 _08784_ (.A1(\u_usb_host.reg_rdata[22] ),
+    .A2(_05713_),
+    .B1(_05732_),
+    .B2(_05737_),
     .X(_02655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09335_ (.A(\u_usb_host.u_core.status_response_w[5] ),
-    .Y(_06039_),
+ sky130_fd_sc_hd__clkinv_2 _08785_ (.A(\u_usb_host.u_core.status_response_w[5] ),
+    .Y(_05738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09336_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
-    .Y(_06040_),
+ sky130_fd_sc_hd__inv_2 _08786_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+    .Y(_05739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09337_ (.A(_05998_),
-    .X(_06041_),
+ sky130_fd_sc_hd__clkbuf_4 _08787_ (.A(_05700_),
+    .X(_05740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09338_ (.A(\u_usb_host.u_core.sof_time_q[5] ),
-    .Y(_06042_),
+ sky130_fd_sc_hd__inv_2 _08788_ (.A(\u_usb_host.u_core.sof_time_q[5] ),
+    .Y(_05741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09339_ (.A(_06042_),
-    .B(_06017_),
-    .X(_06043_),
+ sky130_fd_sc_hd__or2_1 _08789_ (.A(_05741_),
+    .B(_05718_),
+    .X(_05742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09340_ (.A1(_06039_),
-    .A2(_06027_),
-    .B1(_06040_),
-    .B2(_06041_),
-    .C1(_06043_),
-    .Y(_06044_),
+ sky130_fd_sc_hd__o221ai_4 _08790_ (.A1(_05738_),
+    .A2(_05726_),
+    .B1(_05739_),
+    .B2(_05740_),
+    .C1(_05742_),
+    .Y(_05743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09341_ (.A1(\u_usb_host.reg_rdata[21] ),
-    .A2(_06012_),
-    .B1(_06033_),
-    .B2(_06044_),
+ sky130_fd_sc_hd__a22o_1 _08791_ (.A1(\u_usb_host.reg_rdata[21] ),
+    .A2(_05713_),
+    .B1(_05732_),
+    .B2(_05743_),
     .X(_02654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09342_ (.A(_06011_),
-    .X(_06045_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08792_ (.A(_05712_),
+    .X(_05744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09343_ (.A(\u_usb_host.u_core.status_response_w[4] ),
-    .Y(_06046_),
+ sky130_fd_sc_hd__inv_2 _08793_ (.A(\u_usb_host.u_core.status_response_w[4] ),
+    .Y(_05745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09344_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
-    .Y(_06047_),
+ sky130_fd_sc_hd__clkinv_2 _08794_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+    .Y(_05746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09345_ (.A(\u_usb_host.u_core.sof_time_q[4] ),
-    .Y(_06048_),
+ sky130_fd_sc_hd__inv_2 _08795_ (.A(\u_usb_host.u_core.sof_time_q[4] ),
+    .Y(_05747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09346_ (.A(_05983_),
-    .X(_06049_),
+ sky130_fd_sc_hd__clkbuf_1 _08796_ (.A(_05686_),
+    .X(_05748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09347_ (.A(_06048_),
-    .B(_06049_),
-    .X(_06050_),
+ sky130_fd_sc_hd__or2_1 _08797_ (.A(_05747_),
+    .B(_05748_),
+    .X(_05749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09348_ (.A1(_06046_),
-    .A2(_06027_),
-    .B1(_06047_),
-    .B2(_06041_),
-    .C1(_06050_),
-    .Y(_06051_),
+ sky130_fd_sc_hd__o221ai_4 _08798_ (.A1(_05745_),
+    .A2(_05726_),
+    .B1(_05746_),
+    .B2(_05740_),
+    .C1(_05749_),
+    .Y(_05750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09349_ (.A1(\u_usb_host.reg_rdata[20] ),
-    .A2(_06045_),
-    .B1(_06033_),
-    .B2(_06051_),
+ sky130_fd_sc_hd__a22o_1 _08799_ (.A1(\u_usb_host.reg_rdata[20] ),
+    .A2(_05744_),
+    .B1(_05732_),
+    .B2(_05750_),
     .X(_02653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09350_ (.A(\u_usb_host.u_core.status_response_w[3] ),
-    .Y(_06052_),
+ sky130_fd_sc_hd__clkinv_2 _08800_ (.A(\u_usb_host.u_core.status_response_w[3] ),
+    .Y(_05751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09351_ (.A(_05992_),
-    .X(_06053_),
+ sky130_fd_sc_hd__clkbuf_4 _08801_ (.A(_05694_),
+    .X(_05752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09352_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
-    .Y(_06054_),
+ sky130_fd_sc_hd__clkinv_2 _08802_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+    .Y(_05753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09353_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
-    .Y(_06055_),
+ sky130_fd_sc_hd__inv_2 _08803_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
+    .Y(_05754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09354_ (.A(_06055_),
-    .B(_06049_),
-    .X(_06056_),
+ sky130_fd_sc_hd__or2_1 _08804_ (.A(_05754_),
+    .B(_05748_),
+    .X(_05755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09355_ (.A1(_06052_),
-    .A2(_06053_),
-    .B1(_06054_),
-    .B2(_06041_),
-    .C1(_06056_),
-    .Y(_06057_),
+ sky130_fd_sc_hd__o221ai_4 _08805_ (.A1(_05751_),
+    .A2(_05752_),
+    .B1(_05753_),
+    .B2(_05740_),
+    .C1(_05755_),
+    .Y(_05756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09356_ (.A1(\u_usb_host.reg_rdata[19] ),
-    .A2(_06045_),
-    .B1(_06033_),
-    .B2(_06057_),
+ sky130_fd_sc_hd__a22o_1 _08806_ (.A1(\u_usb_host.reg_rdata[19] ),
+    .A2(_05744_),
+    .B1(_05732_),
+    .B2(_05756_),
     .X(_02652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09357_ (.A(_06032_),
-    .X(_06058_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08807_ (.A(_05731_),
+    .X(_05757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09358_ (.A(\u_usb_host.u_core.status_response_w[2] ),
-    .Y(_06059_),
+ sky130_fd_sc_hd__clkinv_2 _08808_ (.A(\u_usb_host.u_core.status_response_w[2] ),
+    .Y(_05758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09359_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
-    .Y(_06060_),
+ sky130_fd_sc_hd__inv_2 _08809_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+    .Y(_05759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09360_ (.A(\u_usb_host.u_core.sof_time_q[2] ),
-    .Y(_06061_),
+ sky130_fd_sc_hd__inv_2 _08810_ (.A(\u_usb_host.u_core.sof_time_q[2] ),
+    .Y(_05760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09361_ (.A(_06061_),
-    .B(_06049_),
-    .X(_06062_),
+ sky130_fd_sc_hd__or2_1 _08811_ (.A(_05760_),
+    .B(_05748_),
+    .X(_05761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09362_ (.A1(_06059_),
-    .A2(_06053_),
-    .B1(_06060_),
-    .B2(_06041_),
-    .C1(_06062_),
-    .Y(_06063_),
+ sky130_fd_sc_hd__o221ai_4 _08812_ (.A1(_05758_),
+    .A2(_05752_),
+    .B1(_05759_),
+    .B2(_05740_),
+    .C1(_05761_),
+    .Y(_05762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09363_ (.A1(\u_usb_host.reg_rdata[18] ),
-    .A2(_06045_),
-    .B1(_06058_),
-    .B2(_06063_),
+ sky130_fd_sc_hd__a22o_1 _08813_ (.A1(\u_usb_host.reg_rdata[18] ),
+    .A2(_05744_),
+    .B1(_05757_),
+    .B2(_05762_),
     .X(_02651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09364_ (.A(\u_usb_host.u_core.status_response_w[1] ),
-    .Y(_06064_),
+ sky130_fd_sc_hd__inv_2 _08814_ (.A(\u_usb_host.u_core.status_response_w[1] ),
+    .Y(_05763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09365_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
-    .Y(_06065_),
+ sky130_fd_sc_hd__clkinv_2 _08815_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+    .Y(_05764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09366_ (.A(_05998_),
-    .X(_06066_),
+ sky130_fd_sc_hd__clkbuf_4 _08816_ (.A(_05700_),
+    .X(_05765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09367_ (.A(\u_usb_host.u_core.sof_time_q[1] ),
-    .Y(_06067_),
+ sky130_fd_sc_hd__inv_2 _08817_ (.A(\u_usb_host.u_core.sof_time_q[1] ),
+    .Y(_05766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09368_ (.A(_06067_),
-    .B(_06049_),
-    .X(_06068_),
+ sky130_fd_sc_hd__or2_1 _08818_ (.A(_05766_),
+    .B(_05748_),
+    .X(_05767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09369_ (.A1(_06064_),
-    .A2(_06053_),
-    .B1(_06065_),
-    .B2(_06066_),
-    .C1(_06068_),
-    .Y(_06069_),
+ sky130_fd_sc_hd__o221ai_4 _08819_ (.A1(_05763_),
+    .A2(_05752_),
+    .B1(_05764_),
+    .B2(_05765_),
+    .C1(_05767_),
+    .Y(_05768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09370_ (.A1(\u_usb_host.reg_rdata[17] ),
-    .A2(_06045_),
-    .B1(_06058_),
-    .B2(_06069_),
+ sky130_fd_sc_hd__a22o_1 _08820_ (.A1(\u_usb_host.reg_rdata[17] ),
+    .A2(_05744_),
+    .B1(_05757_),
+    .B2(_05768_),
     .X(_02650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09371_ (.A(_05986_),
-    .X(_06070_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08821_ (.A(_05712_),
+    .X(_05769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09372_ (.A(\u_usb_host.u_core.status_response_w[0] ),
-    .Y(_06071_),
+ sky130_fd_sc_hd__clkinv_2 _08822_ (.A(\u_usb_host.u_core.status_response_w[0] ),
+    .Y(_05770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09373_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
-    .Y(_06072_),
+ sky130_fd_sc_hd__inv_2 _08823_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+    .Y(_05771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09374_ (.A(\u_usb_host.u_core.sof_time_q[0] ),
-    .Y(_06073_),
+ sky130_fd_sc_hd__inv_2 _08824_ (.A(\u_usb_host.u_core.sof_time_q[0] ),
+    .Y(_05772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09375_ (.A(_06073_),
-    .X(_06074_),
+ sky130_fd_sc_hd__clkbuf_2 _08825_ (.A(_05772_),
+    .X(_05773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09376_ (.A1(_06072_),
-    .A2(_05999_),
-    .B1(_06074_),
-    .B2(_05984_),
-    .X(_06075_),
+ sky130_fd_sc_hd__o22a_1 _08826_ (.A1(_05771_),
+    .A2(_05701_),
+    .B1(_05773_),
+    .B2(_05687_),
+    .X(_05774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _09377_ (.A1(_06071_),
-    .A2(_05993_),
-    .B1(_06075_),
-    .Y(_06076_),
+ sky130_fd_sc_hd__o21ai_1 _08827_ (.A1(_05770_),
+    .A2(_05695_),
+    .B1(_05774_),
+    .Y(_05775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09378_ (.A1(\u_usb_host.reg_rdata[16] ),
-    .A2(_06070_),
-    .B1(_06058_),
-    .B2(_06076_),
+ sky130_fd_sc_hd__a22o_1 _08828_ (.A1(\u_usb_host.reg_rdata[16] ),
+    .A2(_05769_),
+    .B1(_05757_),
+    .B2(_05775_),
     .X(_02649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09379_ (.A(\u_usb_host.u_core.status_rx_count_w[15] ),
-    .Y(_06077_),
+ sky130_fd_sc_hd__clkinv_2 _08829_ (.A(\u_usb_host.u_core.status_rx_count_w[15] ),
+    .Y(_05776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09380_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
-    .Y(_06078_),
+ sky130_fd_sc_hd__inv_2 _08830_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
+    .Y(_05777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09381_ (.A(_05970_),
-    .B(_05980_),
-    .C(_05974_),
-    .X(_06079_),
+ sky130_fd_sc_hd__or3_1 _08831_ (.A(_05673_),
+    .B(_05683_),
+    .C(_05677_),
+    .X(_05778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09382_ (.A(_06079_),
-    .X(_06080_),
+ sky130_fd_sc_hd__clkbuf_1 _08832_ (.A(_05778_),
+    .X(_05779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09383_ (.A(_06080_),
-    .X(_06081_),
+ sky130_fd_sc_hd__clkbuf_4 _08833_ (.A(_05779_),
+    .X(_05780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09384_ (.A(_06081_),
+ sky130_fd_sc_hd__or2b_1 _08834_ (.A(_05780_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[15] ),
-    .X(_06082_),
+    .X(_05781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09385_ (.A1(_06077_),
-    .A2(_06053_),
-    .B1(_06078_),
-    .B2(_06066_),
-    .C1(_06082_),
-    .Y(_06083_),
+ sky130_fd_sc_hd__o221ai_4 _08835_ (.A1(_05776_),
+    .A2(_05752_),
+    .B1(_05777_),
+    .B2(_05765_),
+    .C1(_05781_),
+    .Y(_05782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09386_ (.A1(\u_usb_host.reg_rdata[15] ),
-    .A2(_06070_),
-    .B1(_06058_),
-    .B2(_06083_),
+ sky130_fd_sc_hd__a22o_1 _08836_ (.A1(\u_usb_host.reg_rdata[15] ),
+    .A2(_05769_),
+    .B1(_05757_),
+    .B2(_05782_),
     .X(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09387_ (.A(_06032_),
-    .X(_06084_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08837_ (.A(_05731_),
+    .X(_05783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09388_ (.A(\u_usb_host.u_core.status_rx_count_w[14] ),
-    .Y(_06085_),
+ sky130_fd_sc_hd__clkinv_2 _08838_ (.A(\u_usb_host.u_core.status_rx_count_w[14] ),
+    .Y(_05784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09389_ (.A(_05976_),
-    .X(_06086_),
+ sky130_fd_sc_hd__clkbuf_4 _08839_ (.A(_05679_),
+    .X(_05785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09390_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
-    .Y(_06087_),
+ sky130_fd_sc_hd__inv_2 _08840_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+    .Y(_05786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09391_ (.A(_06079_),
-    .X(_06088_),
+ sky130_fd_sc_hd__clkbuf_2 _08841_ (.A(_05778_),
+    .X(_05787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09392_ (.A(_06088_),
-    .X(_06089_),
+ sky130_fd_sc_hd__clkbuf_1 _08842_ (.A(_05787_),
+    .X(_05788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09393_ (.A(_06089_),
+ sky130_fd_sc_hd__or2b_1 _08843_ (.A(_05788_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[14] ),
-    .X(_06090_),
+    .X(_05789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09394_ (.A1(_06085_),
-    .A2(_06086_),
-    .B1(_06087_),
-    .B2(_06066_),
-    .C1(_06090_),
-    .Y(_06091_),
+ sky130_fd_sc_hd__o221ai_4 _08844_ (.A1(_05784_),
+    .A2(_05785_),
+    .B1(_05786_),
+    .B2(_05765_),
+    .C1(_05789_),
+    .Y(_05790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09395_ (.A1(\u_usb_host.reg_rdata[14] ),
-    .A2(_06070_),
-    .B1(_06084_),
-    .B2(_06091_),
+ sky130_fd_sc_hd__a22o_1 _08845_ (.A1(\u_usb_host.reg_rdata[14] ),
+    .A2(_05769_),
+    .B1(_05783_),
+    .B2(_05790_),
     .X(_02647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09396_ (.A(\u_usb_host.u_core.status_rx_count_w[13] ),
-    .X(_06092_),
+ sky130_fd_sc_hd__inv_2 _08846_ (.A(\u_usb_host.u_core.status_rx_count_w[13] ),
+    .Y(_05791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09397_ (.A(_06092_),
-    .Y(_06093_),
+ sky130_fd_sc_hd__inv_2 _08847_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+    .Y(_05792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09398_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
-    .Y(_06094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09399_ (.A(_06089_),
+ sky130_fd_sc_hd__or2b_1 _08848_ (.A(_05788_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[13] ),
-    .X(_06095_),
+    .X(_05793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09400_ (.A1(_06093_),
-    .A2(_06086_),
-    .B1(_06094_),
-    .B2(_06066_),
-    .C1(_06095_),
-    .Y(_06096_),
+ sky130_fd_sc_hd__o221ai_4 _08849_ (.A1(_05791_),
+    .A2(_05785_),
+    .B1(_05792_),
+    .B2(_05765_),
+    .C1(_05793_),
+    .Y(_05794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09401_ (.A1(\u_usb_host.reg_rdata[13] ),
-    .A2(_06070_),
-    .B1(_06084_),
-    .B2(_06096_),
+ sky130_fd_sc_hd__a22o_1 _08850_ (.A1(\u_usb_host.reg_rdata[13] ),
+    .A2(_05769_),
+    .B1(_05783_),
+    .B2(_05794_),
     .X(_02646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09402_ (.A(_05986_),
-    .X(_06097_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08851_ (.A(_05712_),
+    .X(_05795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09403_ (.A(\u_usb_host.u_core.status_rx_count_w[12] ),
-    .Y(_06098_),
+ sky130_fd_sc_hd__inv_2 _08852_ (.A(\u_usb_host.u_core.status_rx_count_w[12] ),
+    .Y(_05796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09404_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
-    .Y(_06099_),
+ sky130_fd_sc_hd__inv_2 _08853_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
+    .Y(_05797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09405_ (.A(_05998_),
-    .X(_06100_),
+ sky130_fd_sc_hd__clkbuf_4 _08854_ (.A(_05700_),
+    .X(_05798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09406_ (.A(_06089_),
+ sky130_fd_sc_hd__or2b_1 _08855_ (.A(_05788_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[12] ),
-    .X(_06101_),
+    .X(_05799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09407_ (.A1(_06098_),
-    .A2(_06086_),
-    .B1(_06099_),
-    .B2(_06100_),
-    .C1(_06101_),
-    .Y(_06102_),
+ sky130_fd_sc_hd__o221ai_4 _08856_ (.A1(_05796_),
+    .A2(_05785_),
+    .B1(_05797_),
+    .B2(_05798_),
+    .C1(_05799_),
+    .Y(_05800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09408_ (.A1(\u_usb_host.reg_rdata[12] ),
-    .A2(_06097_),
-    .B1(_06084_),
-    .B2(_06102_),
+ sky130_fd_sc_hd__a22o_1 _08857_ (.A1(\u_usb_host.reg_rdata[12] ),
+    .A2(_05795_),
+    .B1(_05783_),
+    .B2(_05800_),
     .X(_02645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09409_ (.A(\u_usb_host.u_core.status_rx_count_w[11] ),
-    .Y(_06103_),
+ sky130_fd_sc_hd__inv_2 _08858_ (.A(\u_usb_host.u_core.status_rx_count_w[11] ),
+    .Y(_05801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09410_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
-    .Y(_06104_),
+ sky130_fd_sc_hd__inv_2 _08859_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+    .Y(_05802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09411_ (.A(_06089_),
+ sky130_fd_sc_hd__or2b_1 _08860_ (.A(_05788_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[11] ),
-    .X(_06105_),
+    .X(_05803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09412_ (.A1(_06103_),
-    .A2(_06086_),
-    .B1(_06104_),
-    .B2(_06100_),
-    .C1(_06105_),
-    .Y(_06106_),
+ sky130_fd_sc_hd__o221ai_4 _08861_ (.A1(_05801_),
+    .A2(_05785_),
+    .B1(_05802_),
+    .B2(_05798_),
+    .C1(_05803_),
+    .Y(_05804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09413_ (.A1(\u_usb_host.reg_rdata[11] ),
-    .A2(_06097_),
-    .B1(_06084_),
-    .B2(_06106_),
+ sky130_fd_sc_hd__a22o_1 _08862_ (.A1(\u_usb_host.reg_rdata[11] ),
+    .A2(_05795_),
+    .B1(_05783_),
+    .B2(_05804_),
     .X(_02644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09414_ (.A(_06032_),
-    .X(_06107_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08863_ (.A(_05731_),
+    .X(_05805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09415_ (.A(\u_usb_host.u_core.status_rx_count_w[10] ),
-    .Y(_06108_),
+ sky130_fd_sc_hd__inv_2 _08864_ (.A(\u_usb_host.u_core.status_rx_count_w[10] ),
+    .Y(_05806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09416_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
-    .Y(_06109_),
+ sky130_fd_sc_hd__inv_2 _08865_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+    .Y(_05807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09417_ (.A(_06080_),
-    .X(_06110_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08866_ (.A(_05779_),
+    .X(_05808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09418_ (.A(_06110_),
+ sky130_fd_sc_hd__or2b_1 _08867_ (.A(_05808_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[10] ),
-    .X(_06111_),
+    .X(_05809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_2 _09419_ (.A1(_06108_),
-    .A2(_05977_),
-    .B1(_06109_),
-    .B2(_06100_),
-    .C1(_06111_),
-    .Y(_06112_),
+ sky130_fd_sc_hd__o221ai_2 _08868_ (.A1(_05806_),
+    .A2(_05680_),
+    .B1(_05807_),
+    .B2(_05798_),
+    .C1(_05809_),
+    .Y(_05810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09420_ (.A1(\u_usb_host.reg_rdata[10] ),
-    .A2(_06097_),
-    .B1(_06107_),
-    .B2(_06112_),
+ sky130_fd_sc_hd__a22o_1 _08869_ (.A1(\u_usb_host.reg_rdata[10] ),
+    .A2(_05795_),
+    .B1(_05805_),
+    .B2(_05810_),
     .X(_02643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09421_ (.A(\u_usb_host.u_core.status_rx_count_w[9] ),
-    .Y(_06113_),
+ sky130_fd_sc_hd__inv_2 _08870_ (.A(\u_usb_host.u_core.status_rx_count_w[9] ),
+    .Y(_05811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09422_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
-    .Y(_06114_),
+ sky130_fd_sc_hd__inv_2 _08871_ (.A(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+    .Y(_05812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09423_ (.A(_06110_),
+ sky130_fd_sc_hd__or2b_1 _08872_ (.A(_05808_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[9] ),
-    .X(_06115_),
+    .X(_05813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_2 _09424_ (.A1(_06113_),
-    .A2(_05977_),
-    .B1(_06114_),
-    .B2(_06100_),
-    .C1(_06115_),
-    .Y(_06116_),
+ sky130_fd_sc_hd__o221ai_4 _08873_ (.A1(_05811_),
+    .A2(_05680_),
+    .B1(_05812_),
+    .B2(_05798_),
+    .C1(_05813_),
+    .Y(_05814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09425_ (.A1(\u_usb_host.reg_rdata[9] ),
-    .A2(_06097_),
-    .B1(_06107_),
-    .B2(_06116_),
+ sky130_fd_sc_hd__a22o_1 _08874_ (.A1(\u_usb_host.reg_rdata[9] ),
+    .A2(_05795_),
+    .B1(_05805_),
+    .B2(_05814_),
     .X(_02642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09426_ (.A(_05986_),
-    .X(_06117_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08875_ (.A(_05666_),
+    .X(_05815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09427_ (.A(\u_usb_host.u_core.status_rx_count_w[8] ),
-    .Y(_06118_),
+ sky130_fd_sc_hd__inv_2 _08876_ (.A(\u_usb_host.u_core.status_rx_count_w[8] ),
+    .Y(_05816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09428_ (.A(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
-    .Y(_06119_),
+ sky130_fd_sc_hd__inv_2 _08877_ (.A(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+    .Y(_05817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09429_ (.A(_06110_),
+ sky130_fd_sc_hd__or2b_1 _08878_ (.A(_05808_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[8] ),
-    .X(_06120_),
+    .X(_05818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_2 _09430_ (.A1(_06118_),
-    .A2(_05977_),
-    .B1(_06119_),
-    .B2(_05999_),
-    .C1(_06120_),
-    .Y(_06121_),
+ sky130_fd_sc_hd__o221ai_4 _08879_ (.A1(_05816_),
+    .A2(_05680_),
+    .B1(_05817_),
+    .B2(_05701_),
+    .C1(_05818_),
+    .Y(_05819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09431_ (.A1(\u_usb_host.reg_rdata[8] ),
-    .A2(_06117_),
-    .B1(_06107_),
-    .B2(_06121_),
+ sky130_fd_sc_hd__a22o_1 _08880_ (.A1(\u_usb_host.reg_rdata[8] ),
+    .A2(_05815_),
+    .B1(_05805_),
+    .B2(_05819_),
     .X(_02641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09432_ (.A(\u_usb_host.u_core.u_sie.data_len_i[7] ),
-    .Y(_06122_),
+ sky130_fd_sc_hd__inv_2 _08881_ (.A(\u_usb_host.u_core.u_sie.data_len_i[7] ),
+    .Y(_05820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09433_ (.A(\u_usb_host.u_core.status_rx_count_w[7] ),
-    .Y(_06123_),
+ sky130_fd_sc_hd__inv_2 _08882_ (.A(\u_usb_host.u_core.status_rx_count_w[7] ),
+    .Y(_05821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09434_ (.A(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
-    .Y(_06124_),
+ sky130_fd_sc_hd__inv_2 _08883_ (.A(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
+    .Y(_05822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09435_ (.A(_05995_),
-    .B(_05980_),
-    .X(_06125_),
+ sky130_fd_sc_hd__or2_1 _08884_ (.A(_05697_),
+    .B(_05683_),
+    .X(_05823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09436_ (.A(_05982_),
-    .B(_06125_),
-    .X(_06126_),
+ sky130_fd_sc_hd__or2_1 _08885_ (.A(_05685_),
+    .B(_05823_),
+    .X(_05824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09437_ (.A(_06126_),
-    .X(_06127_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08886_ (.A(_05824_),
+    .X(_05825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09438_ (.A(_00734_),
-    .Y(_06128_),
+ sky130_fd_sc_hd__clkinv_2 _08887_ (.A(_00734_),
+    .Y(_05826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09439_ (.A(_06125_),
-    .Y(_06129_),
+ sky130_fd_sc_hd__inv_2 _08888_ (.A(_05823_),
+    .Y(_05827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2111a_4 _09440_ (.A1(_05973_),
-    .A2(_05583_),
+ sky130_fd_sc_hd__o2111a_4 _08889_ (.A1(_05676_),
+    .A2(_05349_),
     .B1(_00240_),
-    .C1(_05968_),
-    .D1(_06129_),
-    .X(_06130_),
+    .C1(_05671_),
+    .D1(_05827_),
+    .X(_05828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09441_ (.A(_06130_),
-    .X(_06131_),
+ sky130_fd_sc_hd__clkbuf_1 _08890_ (.A(_05828_),
+    .X(_05829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09442_ (.A(_06131_),
-    .Y(_06132_),
+ sky130_fd_sc_hd__inv_2 _08891_ (.A(_05829_),
+    .Y(_05830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09443_ (.A(_06132_),
-    .X(_06133_),
+ sky130_fd_sc_hd__clkbuf_4 _08892_ (.A(_05830_),
+    .X(_05831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09444_ (.A(_05997_),
+ sky130_fd_sc_hd__or2b_1 _08893_ (.A(_05699_),
     .B_N(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
-    .X(_06134_),
+    .X(_05832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09445_ (.A1(_06124_),
-    .A2(_06127_),
-    .B1(_06128_),
-    .B2(_06133_),
-    .C1(_06134_),
-    .X(_06135_),
+ sky130_fd_sc_hd__o221a_1 _08894_ (.A1(_05822_),
+    .A2(_05825_),
+    .B1(_05826_),
+    .B2(_05831_),
+    .C1(_05832_),
+    .X(_05833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_2 _09446_ (.A1(_06122_),
-    .A2(_06081_),
-    .B1(_06123_),
-    .B2(_05993_),
-    .C1(_06135_),
-    .Y(_06136_),
+ sky130_fd_sc_hd__o221ai_4 _08895_ (.A1(_05820_),
+    .A2(_05780_),
+    .B1(_05821_),
+    .B2(_05695_),
+    .C1(_05833_),
+    .Y(_05834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09447_ (.A1(\u_usb_host.reg_rdata[7] ),
-    .A2(_06117_),
-    .B1(_06107_),
-    .B2(_06136_),
+ sky130_fd_sc_hd__a22o_1 _08896_ (.A1(\u_usb_host.reg_rdata[7] ),
+    .A2(_05815_),
+    .B1(_05805_),
+    .B2(_05834_),
     .X(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09448_ (.A(\u_usb_host.u_core.u_sie.data_len_i[6] ),
-    .Y(_06137_),
+ sky130_fd_sc_hd__inv_2 _08897_ (.A(\u_usb_host.u_core.u_sie.data_len_i[6] ),
+    .Y(_05835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09449_ (.A(\u_usb_host.u_core.status_rx_count_w[6] ),
-    .Y(_06138_),
+ sky130_fd_sc_hd__clkinv_2 _08898_ (.A(\u_usb_host.u_core.status_rx_count_w[6] ),
+    .Y(_05836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09450_ (.A(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
-    .Y(_06139_),
+ sky130_fd_sc_hd__inv_2 _08899_ (.A(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
+    .Y(_05837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09451_ (.A(_00726_),
-    .Y(_06140_),
+ sky130_fd_sc_hd__clkinv_2 _08900_ (.A(_00726_),
+    .Y(_05838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09452_ (.A(_05997_),
+ sky130_fd_sc_hd__or2b_1 _08901_ (.A(_05699_),
     .B_N(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
-    .X(_06141_),
+    .X(_05839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09453_ (.A1(_06139_),
-    .A2(_06127_),
-    .B1(_06140_),
-    .B2(_06133_),
-    .C1(_06141_),
-    .X(_06142_),
+ sky130_fd_sc_hd__o221a_1 _08902_ (.A1(_05837_),
+    .A2(_05825_),
+    .B1(_05838_),
+    .B2(_05831_),
+    .C1(_05839_),
+    .X(_05840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_2 _09454_ (.A1(_06137_),
-    .A2(_06081_),
-    .B1(_06138_),
-    .B2(_05993_),
-    .C1(_06142_),
-    .Y(_06143_),
+ sky130_fd_sc_hd__o221ai_4 _08903_ (.A1(_05835_),
+    .A2(_05780_),
+    .B1(_05836_),
+    .B2(_05695_),
+    .C1(_05840_),
+    .Y(_05841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09455_ (.A1(\u_usb_host.reg_rdata[6] ),
-    .A2(_06117_),
-    .B1(_06022_),
-    .B2(_06143_),
+ sky130_fd_sc_hd__a22o_1 _08904_ (.A1(\u_usb_host.reg_rdata[6] ),
+    .A2(_05815_),
+    .B1(_05723_),
+    .B2(_05841_),
     .X(_02639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09456_ (.A(\u_usb_host.u_core.u_sie.data_len_i[5] ),
-    .Y(_06144_),
+ sky130_fd_sc_hd__inv_2 _08905_ (.A(\u_usb_host.u_core.u_sie.data_len_i[5] ),
+    .Y(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09457_ (.A(\u_usb_host.u_core.status_rx_count_w[5] ),
-    .Y(_06145_),
+ sky130_fd_sc_hd__inv_2 _08906_ (.A(\u_usb_host.u_core.status_rx_count_w[5] ),
+    .Y(_05843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09458_ (.A(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
-    .Y(_06146_),
+ sky130_fd_sc_hd__inv_2 _08907_ (.A(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
+    .Y(_05844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09459_ (.A(_00730_),
-    .Y(_06147_),
+ sky130_fd_sc_hd__clkinv_2 _08908_ (.A(_00730_),
+    .Y(_05845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09460_ (.A(_05997_),
+ sky130_fd_sc_hd__or2b_1 _08909_ (.A(_05699_),
     .B_N(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
-    .X(_06148_),
+    .X(_05846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09461_ (.A1(_06146_),
-    .A2(_06127_),
-    .B1(_06147_),
-    .B2(_06132_),
-    .C1(_06148_),
-    .X(_06149_),
+ sky130_fd_sc_hd__o221a_1 _08910_ (.A1(_05844_),
+    .A2(_05825_),
+    .B1(_05845_),
+    .B2(_05830_),
+    .C1(_05846_),
+    .X(_05847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _09462_ (.A1(_06144_),
-    .A2(_06081_),
-    .B1(_06145_),
-    .B2(_06005_),
-    .C1(_06149_),
-    .Y(_06150_),
+ sky130_fd_sc_hd__o221ai_4 _08911_ (.A1(_05842_),
+    .A2(_05780_),
+    .B1(_05843_),
+    .B2(_05706_),
+    .C1(_05847_),
+    .Y(_05848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09463_ (.A1(\u_usb_host.reg_rdata[5] ),
-    .A2(_06117_),
-    .B1(_06022_),
-    .B2(_06150_),
+ sky130_fd_sc_hd__a22o_1 _08912_ (.A1(\u_usb_host.reg_rdata[5] ),
+    .A2(_05815_),
+    .B1(_05723_),
+    .B2(_05848_),
     .X(_02638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09464_ (.A(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
-    .Y(_06151_),
+ sky130_fd_sc_hd__inv_2 _08913_ (.A(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
+    .Y(_05849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09465_ (.A(_06126_),
-    .X(_06152_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08914_ (.A(_05824_),
+    .X(_05850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09466_ (.A(_00738_),
-    .Y(_06153_),
+ sky130_fd_sc_hd__clkinv_2 _08915_ (.A(_00738_),
+    .Y(_05851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09467_ (.A(\u_usb_host.u_core.u_sie.data_len_i[4] ),
-    .Y(_06154_),
+ sky130_fd_sc_hd__inv_2 _08916_ (.A(\u_usb_host.u_core.u_sie.data_len_i[4] ),
+    .Y(_05852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09468_ (.A(\u_usb_host.u_core.status_rx_count_w[4] ),
-    .Y(_06155_),
+ sky130_fd_sc_hd__inv_2 _08917_ (.A(\u_usb_host.u_core.status_rx_count_w[4] ),
+    .Y(_05853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09469_ (.A1(_06154_),
-    .A2(_06110_),
-    .B1(_06155_),
-    .B2(_05976_),
-    .X(_06156_),
+ sky130_fd_sc_hd__o22a_1 _08918_ (.A1(_05852_),
+    .A2(_05808_),
+    .B1(_05853_),
+    .B2(_05679_),
+    .X(_05854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09470_ (.A1(_06151_),
-    .A2(_06152_),
-    .B1(_06153_),
-    .B2(_06133_),
-    .C1(_06156_),
-    .X(_06157_),
+ sky130_fd_sc_hd__o221a_1 _08919_ (.A1(_05849_),
+    .A2(_05850_),
+    .B1(_05851_),
+    .B2(_05831_),
+    .C1(_05854_),
+    .X(_05855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09471_ (.A1_N(_05965_),
-    .A2_N(_06157_),
+ sky130_fd_sc_hd__a2bb2o_1 _08920_ (.A1_N(_05668_),
+    .A2_N(_05855_),
     .B1(\u_usb_host.reg_rdata[4] ),
-    .B2(_05965_),
+    .B2(_05668_),
     .X(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09472_ (.A(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
-    .Y(_06158_),
+ sky130_fd_sc_hd__inv_2 _08921_ (.A(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
+    .Y(_05856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09473_ (.A(_05974_),
-    .B(_06125_),
-    .X(_06159_),
+ sky130_fd_sc_hd__or2_1 _08922_ (.A(_05677_),
+    .B(_05823_),
+    .X(_05857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09474_ (.A(_06159_),
-    .X(_06160_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08923_ (.A(_05857_),
+    .X(_05858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09475_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
-    .X(_06161_),
+ sky130_fd_sc_hd__inv_2 _08924_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
+    .Y(_05859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09476_ (.A(_06161_),
-    .Y(_06162_),
+ sky130_fd_sc_hd__inv_2 _08925_ (.A(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
+    .Y(_05860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09477_ (.A(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
-    .Y(_06163_),
+ sky130_fd_sc_hd__inv_2 _08926_ (.A(_00728_),
+    .Y(_05861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09478_ (.A(_00728_),
-    .Y(_06164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09479_ (.A(_06080_),
+ sky130_fd_sc_hd__or2b_1 _08927_ (.A(_05779_),
     .B_N(\u_usb_host.u_core.u_sie.data_len_i[3] ),
-    .X(_06165_),
+    .X(_05862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09480_ (.A1(_06163_),
-    .A2(_06127_),
-    .B1(_06164_),
-    .B2(_06132_),
-    .C1(_06165_),
-    .X(_06166_),
+ sky130_fd_sc_hd__o221a_1 _08928_ (.A1(_05860_),
+    .A2(_05825_),
+    .B1(_05861_),
+    .B2(_05830_),
+    .C1(_05862_),
+    .X(_05863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_2 _09481_ (.A1(_06158_),
-    .A2(_06160_),
-    .B1(_06162_),
-    .B2(_06005_),
-    .C1(_06166_),
-    .Y(_06167_),
+ sky130_fd_sc_hd__o221ai_2 _08929_ (.A1(_05856_),
+    .A2(_05858_),
+    .B1(_05859_),
+    .B2(_05706_),
+    .C1(_05863_),
+    .Y(_05864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09482_ (.A1(\u_usb_host.reg_rdata[3] ),
-    .A2(_06011_),
-    .B1(_06022_),
-    .B2(_06167_),
+ sky130_fd_sc_hd__a22o_1 _08930_ (.A1(\u_usb_host.reg_rdata[3] ),
+    .A2(_05724_),
+    .B1(_05723_),
+    .B2(_05864_),
     .X(_02636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09483_ (.A(_05964_),
-    .X(_06168_),
+ sky130_fd_sc_hd__clkbuf_1 _08931_ (.A(_05667_),
+    .X(_05865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09484_ (.A(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
-    .Y(_06169_),
+ sky130_fd_sc_hd__inv_2 _08932_ (.A(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
+    .Y(_05866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09485_ (.A(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
-    .Y(_06170_),
+ sky130_fd_sc_hd__clkinv_2 _08933_ (.A(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
+    .Y(_05867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09486_ (.A1_N(_00732_),
-    .A2_N(_06131_),
-    .B1(_06170_),
-    .B2(_06160_),
-    .X(_06171_),
+ sky130_fd_sc_hd__o2bb2a_1 _08934_ (.A1_N(_00732_),
+    .A2_N(_05829_),
+    .B1(_05867_),
+    .B2(_05858_),
+    .X(_05868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09487_ (.A(\u_usb_host.u_core.u_sie.data_len_i[2] ),
-    .Y(_06172_),
+ sky130_fd_sc_hd__inv_2 _08935_ (.A(\u_usb_host.u_core.u_sie.data_len_i[2] ),
+    .Y(_05869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09488_ (.A(\u_usb_host.u_core.status_rx_count_w[2] ),
-    .Y(_06173_),
+ sky130_fd_sc_hd__inv_2 _08936_ (.A(\u_usb_host.u_core.status_rx_count_w[2] ),
+    .Y(_05870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09489_ (.A(\u_usb_host.u_core.intr_err_q ),
-    .Y(_06174_),
+ sky130_fd_sc_hd__inv_2 _08937_ (.A(\u_usb_host.u_core.intr_err_q ),
+    .Y(_05871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09490_ (.A(_05970_),
-    .B(_05972_),
-    .C(_05982_),
-    .X(_06175_),
+ sky130_fd_sc_hd__or3_2 _08938_ (.A(_05673_),
+    .B(_05675_),
+    .C(_05685_),
+    .X(_05872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09491_ (.A1_N(\u_usb_host.u_core.usb_err_q ),
-    .A2_N(_06020_),
-    .B1(_06174_),
-    .B2(_06175_),
-    .X(_06176_),
+ sky130_fd_sc_hd__o2bb2a_1 _08939_ (.A1_N(\u_usb_host.u_core.usb_err_q ),
+    .A2_N(_05721_),
+    .B1(_05871_),
+    .B2(_05872_),
+    .X(_05873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09492_ (.A1(_06172_),
-    .A2(_06088_),
-    .B1(_06173_),
-    .B2(_05976_),
-    .C1(_06176_),
-    .X(_06177_),
+ sky130_fd_sc_hd__o221a_1 _08940_ (.A1(_05869_),
+    .A2(_05787_),
+    .B1(_05870_),
+    .B2(_05679_),
+    .C1(_05873_),
+    .X(_05874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _09493_ (.A1(_06169_),
-    .A2(_06152_),
-    .B1(_06171_),
-    .C1(_06177_),
-    .X(_06178_),
+ sky130_fd_sc_hd__o211a_1 _08941_ (.A1(_05866_),
+    .A2(_05850_),
+    .B1(_05868_),
+    .C1(_05874_),
+    .X(_05875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09494_ (.A1_N(_06168_),
-    .A2_N(_06178_),
+ sky130_fd_sc_hd__a2bb2o_1 _08942_ (.A1_N(_05865_),
+    .A2_N(_05875_),
     .B1(\u_usb_host.reg_rdata[2] ),
-    .B2(_06168_),
+    .B2(_05865_),
     .X(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09495_ (.A(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
-    .Y(_06179_),
+ sky130_fd_sc_hd__inv_2 _08943_ (.A(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
+    .Y(_05876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09496_ (.A(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
-    .Y(_06180_),
+ sky130_fd_sc_hd__clkinv_2 _08944_ (.A(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
+    .Y(_05877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09497_ (.A1_N(_00736_),
-    .A2_N(_06131_),
-    .B1(_06180_),
-    .B2(_06160_),
-    .X(_06181_),
+ sky130_fd_sc_hd__o2bb2a_1 _08945_ (.A1_N(_00736_),
+    .A2_N(_05829_),
+    .B1(_05877_),
+    .B2(_05858_),
+    .X(_05878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09498_ (.A(\u_usb_host.u_core.u_sie.data_len_i[1] ),
-    .Y(_06182_),
+ sky130_fd_sc_hd__inv_2 _08946_ (.A(\u_usb_host.u_core.u_sie.data_len_i[1] ),
+    .Y(_05879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09499_ (.A(\u_usb_host.u_core.status_rx_count_w[1] ),
-    .Y(_06183_),
+ sky130_fd_sc_hd__inv_2 _08947_ (.A(\u_usb_host.u_core.status_rx_count_w[1] ),
+    .Y(_05880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09500_ (.A(\u_usb_host.u_core.intr_done_q ),
-    .Y(_06184_),
+ sky130_fd_sc_hd__inv_2 _08948_ (.A(\u_usb_host.u_core.intr_done_q ),
+    .Y(_05881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09501_ (.A1_N(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
-    .A2_N(_06020_),
-    .B1(_06184_),
-    .B2(_06175_),
-    .X(_06185_),
+ sky130_fd_sc_hd__o2bb2a_1 _08949_ (.A1_N(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+    .A2_N(_05721_),
+    .B1(_05881_),
+    .B2(_05872_),
+    .X(_05882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09502_ (.A1(_06182_),
-    .A2(_06088_),
-    .B1(_06183_),
-    .B2(_05975_),
-    .C1(_06185_),
-    .X(_06186_),
+ sky130_fd_sc_hd__o221a_1 _08950_ (.A1(_05879_),
+    .A2(_05787_),
+    .B1(_05880_),
+    .B2(_05678_),
+    .C1(_05882_),
+    .X(_05883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _09503_ (.A1(_06179_),
-    .A2(_06152_),
-    .B1(_06181_),
-    .C1(_06186_),
-    .X(_06187_),
+ sky130_fd_sc_hd__o211a_1 _08951_ (.A1(_05876_),
+    .A2(_05850_),
+    .B1(_05878_),
+    .C1(_05883_),
+    .X(_05884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09504_ (.A1_N(_06168_),
-    .A2_N(_06187_),
+ sky130_fd_sc_hd__a2bb2o_1 _08952_ (.A1_N(_05865_),
+    .A2_N(_05884_),
     .B1(\u_usb_host.reg_rdata[1] ),
-    .B2(_06168_),
+    .B2(_05865_),
     .X(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09505_ (.A(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
-    .Y(_06188_),
+ sky130_fd_sc_hd__inv_2 _08953_ (.A(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
+    .Y(_05885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09506_ (.A(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
-    .Y(_06189_),
+ sky130_fd_sc_hd__clkinv_2 _08954_ (.A(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
+    .Y(_05886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09507_ (.A1_N(_00724_),
-    .A2_N(_06131_),
-    .B1(_06189_),
-    .B2(_06160_),
-    .X(_06190_),
+ sky130_fd_sc_hd__o2bb2a_1 _08955_ (.A1_N(_00724_),
+    .A2_N(_05829_),
+    .B1(_05886_),
+    .B2(_05858_),
+    .X(_05887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09508_ (.A(\u_usb_host.u_core.u_sie.data_len_i[0] ),
-    .Y(_06191_),
+ sky130_fd_sc_hd__inv_2 _08956_ (.A(\u_usb_host.u_core.u_sie.data_len_i[0] ),
+    .Y(_05888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09509_ (.A(\u_usb_host.u_core.status_rx_count_w[0] ),
-    .X(_06192_),
+ sky130_fd_sc_hd__inv_2 _08957_ (.A(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .Y(_05889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09510_ (.A(_06192_),
-    .Y(_06193_),
+ sky130_fd_sc_hd__inv_2 _08958_ (.A(\u_usb_host.u_core.intr_sof_q ),
+    .Y(_05890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09511_ (.A(\u_usb_host.u_core.intr_sof_q ),
-    .Y(_06194_),
+ sky130_fd_sc_hd__o2bb2a_1 _08959_ (.A1_N(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+    .A2_N(_05721_),
+    .B1(_05890_),
+    .B2(_05872_),
+    .X(_05891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09512_ (.A1_N(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
-    .A2_N(_06020_),
-    .B1(_06194_),
-    .B2(_06175_),
-    .X(_06195_),
+ sky130_fd_sc_hd__o221a_1 _08960_ (.A1(_05888_),
+    .A2(_05787_),
+    .B1(_05889_),
+    .B2(_05678_),
+    .C1(_05891_),
+    .X(_05892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09513_ (.A1(_06191_),
-    .A2(_06088_),
-    .B1(_06193_),
-    .B2(_05975_),
-    .C1(_06195_),
-    .X(_06196_),
+ sky130_fd_sc_hd__o211a_1 _08961_ (.A1(_05885_),
+    .A2(_05850_),
+    .B1(_05887_),
+    .C1(_05892_),
+    .X(_05893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _09514_ (.A1(_06188_),
-    .A2(_06152_),
-    .B1(_06190_),
-    .C1(_06196_),
-    .X(_06197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09515_ (.A1_N(_06023_),
-    .A2_N(_06197_),
+ sky130_fd_sc_hd__a2bb2o_1 _08962_ (.A1_N(_05689_),
+    .A2_N(_05893_),
     .B1(\u_usb_host.reg_rdata[0] ),
-    .B2(_06023_),
+    .B2(_05689_),
     .X(_02633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09516_ (.A(\u_usb_host.u_core.cfg_wr ),
-    .Y(_06198_),
+ sky130_fd_sc_hd__inv_2 _08963_ (.A(\u_usb_host.u_core.cfg_wr ),
+    .Y(_05894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09517_ (.A(_06198_),
-    .X(_06199_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08964_ (.A(_05894_),
+    .X(_05895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09518_ (.A(_06199_),
-    .B(_06126_),
-    .X(_06200_),
+ sky130_fd_sc_hd__or2_1 _08965_ (.A(_05895_),
+    .B(_05824_),
+    .X(_05896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09519_ (.A(_06200_),
-    .Y(_06201_),
+ sky130_fd_sc_hd__inv_2 _08966_ (.A(_05896_),
+    .Y(_05897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09520_ (.A(_06201_),
+ sky130_fd_sc_hd__clkbuf_2 _08967_ (.A(_05897_),
     .X(_00130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09521_ (.A(_05981_),
-    .X(_06202_),
+ sky130_fd_sc_hd__clkbuf_2 _08968_ (.A(_05684_),
+    .X(_05898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09522_ (.A(_06202_),
-    .X(_06203_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08969_ (.A(_05898_),
+    .X(_05899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09523_ (.A(_06203_),
-    .X(_06204_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08970_ (.A(_05899_),
+    .X(_05900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09524_ (.A(_06200_),
-    .X(_06205_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08971_ (.A(_05896_),
+    .X(_05901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09525_ (.A1(_00697_),
-    .A2(_06204_),
+ sky130_fd_sc_hd__a32o_1 _08972_ (.A1(_00697_),
+    .A2(_05900_),
     .A3(_00130_),
     .B1(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
-    .B2(_06205_),
+    .B2(_05901_),
     .X(_02632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09526_ (.A1(net166),
-    .A2(_06204_),
+ sky130_fd_sc_hd__a32o_1 _08973_ (.A1(_00696_),
+    .A2(_05900_),
     .A3(_00130_),
     .B1(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
-    .B2(_06205_),
+    .B2(_05901_),
     .X(_02631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09527_ (.A(_06201_),
-    .X(_06206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09528_ (.A1(_00695_),
-    .A2(_06204_),
-    .A3(_06206_),
+ sky130_fd_sc_hd__a32o_1 _08974_ (.A1(_00695_),
+    .A2(_05900_),
+    .A3(_00130_),
     .B1(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
-    .B2(_06205_),
+    .B2(_05901_),
     .X(_02630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09529_ (.A1(_00694_),
-    .A2(_06204_),
-    .A3(_06206_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08975_ (.A(_05897_),
+    .X(_05902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_1 _08976_ (.A1(_00694_),
+    .A2(_05900_),
+    .A3(_05902_),
     .B1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
-    .B2(_06205_),
+    .B2(_05901_),
     .X(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09530_ (.A(_06203_),
-    .X(_06207_),
+ sky130_fd_sc_hd__clkbuf_1 _08977_ (.A(_05899_),
+    .X(_05903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09531_ (.A(_06200_),
-    .X(_06208_),
+ sky130_fd_sc_hd__clkbuf_1 _08978_ (.A(_05896_),
+    .X(_05904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09532_ (.A1(net190),
-    .A2(_06207_),
-    .A3(_06206_),
+ sky130_fd_sc_hd__a32o_1 _08979_ (.A1(_00693_),
+    .A2(_05903_),
+    .A3(_05902_),
     .B1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
-    .B2(_06208_),
+    .B2(_05904_),
     .X(_02628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09533_ (.A(_00692_),
-    .X(_06209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09534_ (.A1(_06209_),
-    .A2(_06207_),
-    .A3(_06206_),
+ sky130_fd_sc_hd__a32o_1 _08980_ (.A1(_00692_),
+    .A2(_05903_),
+    .A3(_05902_),
     .B1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
-    .B2(_06208_),
+    .B2(_05904_),
     .X(_02627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09535_ (.A(_00691_),
-    .X(_06210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09536_ (.A1(_06210_),
-    .A2(_06207_),
-    .A3(_06201_),
+ sky130_fd_sc_hd__a32o_1 _08981_ (.A1(_00691_),
+    .A2(_05903_),
+    .A3(_05902_),
     .B1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
-    .B2(_06208_),
+    .B2(_05904_),
     .X(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09537_ (.A(_00690_),
-    .X(_06211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09538_ (.A1(_06211_),
-    .A2(_06207_),
-    .A3(_06201_),
+ sky130_fd_sc_hd__a32o_1 _08982_ (.A1(_00690_),
+    .A2(_05903_),
+    .A3(_05897_),
     .B1(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
-    .B2(_06208_),
+    .B2(_05904_),
     .X(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09539_ (.A(_06202_),
-    .X(_06212_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08983_ (.A(_05899_),
+    .X(_05905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09540_ (.A(_06212_),
-    .X(_06213_),
+ sky130_fd_sc_hd__or2_1 _08984_ (.A(_05895_),
+    .B(_05857_),
+    .X(_05906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09541_ (.A(_06199_),
-    .B(_06159_),
-    .X(_06214_),
+ sky130_fd_sc_hd__inv_2 _08985_ (.A(_05906_),
+    .Y(_05907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09542_ (.A(_06214_),
-    .Y(_06215_),
+ sky130_fd_sc_hd__clkbuf_1 _08986_ (.A(_05906_),
+    .X(_05908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09543_ (.A(_06214_),
-    .X(_06216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09544_ (.A1(net190),
-    .A2(_06213_),
-    .A3(_06215_),
+ sky130_fd_sc_hd__a32o_1 _08987_ (.A1(_00693_),
+    .A2(_05905_),
+    .A3(_05907_),
     .B1(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
-    .B2(_06216_),
+    .B2(_05908_),
     .X(_02624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09545_ (.A1(_06209_),
-    .A2(_06213_),
-    .A3(_06215_),
+ sky130_fd_sc_hd__a32o_1 _08988_ (.A1(_00692_),
+    .A2(_05905_),
+    .A3(_05907_),
     .B1(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
-    .B2(_06216_),
+    .B2(_05908_),
     .X(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09546_ (.A1(_06210_),
-    .A2(_06213_),
-    .A3(_06215_),
+ sky130_fd_sc_hd__a32o_1 _08989_ (.A1(_00691_),
+    .A2(_05905_),
+    .A3(_05907_),
     .B1(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
-    .B2(_06216_),
+    .B2(_05908_),
     .X(_02622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09547_ (.A1(_06211_),
-    .A2(_06213_),
-    .A3(_06215_),
+ sky130_fd_sc_hd__a32o_1 _08990_ (.A1(_00690_),
+    .A2(_05905_),
+    .A3(_05907_),
     .B1(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
-    .B2(_06216_),
+    .B2(_05908_),
     .X(_02621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09548_ (.A(_06199_),
-    .B(_06080_),
-    .X(_06217_),
+ sky130_fd_sc_hd__or2_1 _08991_ (.A(_05895_),
+    .B(_05779_),
+    .X(_05909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09549_ (.A(_06217_),
-    .X(_06218_),
+ sky130_fd_sc_hd__buf_2 _08992_ (.A(_05909_),
+    .X(_05910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09550_ (.A(_06218_),
-    .X(_06219_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08993_ (.A(_05910_),
+    .X(_05911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _09551_ (.A(_05981_),
-    .X(_06220_),
+ sky130_fd_sc_hd__clkbuf_4 _08994_ (.A(_05684_),
+    .X(_05912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _09552_ (.A(_00705_),
-    .B(_06220_),
-    .X(_06221_),
+ sky130_fd_sc_hd__and2_1 _08995_ (.A(_00705_),
+    .B(_05912_),
+    .X(_05913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09553_ (.A(_06217_),
-    .Y(_06222_),
+ sky130_fd_sc_hd__clkinv_2 _08996_ (.A(_05909_),
+    .Y(_05914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09554_ (.A(_06222_),
-    .X(_06223_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _08997_ (.A(_05914_),
+    .X(_05915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09555_ (.A1(_06219_),
-    .A2(net176),
+ sky130_fd_sc_hd__o22a_1 _08998_ (.A1(_05911_),
+    .A2(_05913_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[15] ),
-    .B2(_06223_),
+    .B2(_05915_),
     .X(_02620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _09556_ (.A(_00704_),
-    .B(_06220_),
-    .X(_06224_),
+ sky130_fd_sc_hd__and2_1 _08999_ (.A(_00704_),
+    .B(_05912_),
+    .X(_05916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09557_ (.A1(_06219_),
-    .A2(net174),
+ sky130_fd_sc_hd__o22a_1 _09000_ (.A1(_05911_),
+    .A2(_05916_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[14] ),
-    .B2(_06223_),
+    .B2(_05915_),
     .X(_02619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09558_ (.A(_06202_),
-    .X(_06225_),
+ sky130_fd_sc_hd__and2_1 _09001_ (.A(_00703_),
+    .B(_05912_),
+    .X(_05917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _09559_ (.A(_00703_),
-    .B(_06225_),
-    .X(_06226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09560_ (.A1(_06219_),
-    .A2(net170),
+ sky130_fd_sc_hd__o22a_1 _09002_ (.A1(_05911_),
+    .A2(_05917_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[13] ),
-    .B2(_06223_),
+    .B2(_05915_),
     .X(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09561_ (.A(_06218_),
-    .X(_06227_),
+ sky130_fd_sc_hd__clkbuf_1 _09003_ (.A(_05898_),
+    .X(_05918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _09562_ (.A(_00702_),
-    .B(_06225_),
-    .X(_06228_),
+ sky130_fd_sc_hd__and2_1 _09004_ (.A(_00702_),
+    .B(_05918_),
+    .X(_05919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09563_ (.A1(_06227_),
-    .A2(net173),
+ sky130_fd_sc_hd__o22a_1 _09005_ (.A1(_05911_),
+    .A2(_05919_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
-    .B2(_06223_),
+    .B2(_05915_),
     .X(_02617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _09564_ (.A(_00701_),
-    .B(_06225_),
-    .X(_06229_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09006_ (.A(_05910_),
+    .X(_05920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09565_ (.A(_06222_),
-    .X(_06230_),
+ sky130_fd_sc_hd__and2_1 _09007_ (.A(_00701_),
+    .B(_05918_),
+    .X(_05921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09566_ (.A1(_06227_),
-    .A2(net171),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09008_ (.A(_05914_),
+    .X(_05922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _09009_ (.A1(_05920_),
+    .A2(_05921_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
-    .B2(_06230_),
+    .B2(_05922_),
     .X(_02616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _09567_ (.A(_00700_),
-    .B(_06225_),
-    .X(_06231_),
+ sky130_fd_sc_hd__and2_1 _09010_ (.A(_00700_),
+    .B(_05918_),
+    .X(_05923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09568_ (.A1(_06227_),
-    .A2(net175),
+ sky130_fd_sc_hd__o22a_1 _09011_ (.A1(_05920_),
+    .A2(_05923_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[10] ),
-    .B2(_06230_),
+    .B2(_05922_),
     .X(_02615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _09569_ (.A(_00699_),
-    .B(_06202_),
-    .X(_06232_),
+ sky130_fd_sc_hd__and2_1 _09012_ (.A(_00699_),
+    .B(_05918_),
+    .X(_05924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09570_ (.A1(_06227_),
-    .A2(net172),
+ sky130_fd_sc_hd__o22a_1 _09013_ (.A1(_05920_),
+    .A2(_05924_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[9] ),
-    .B2(_06230_),
+    .B2(_05922_),
     .X(_02614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09571_ (.A(_06212_),
-    .X(_06233_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09014_ (.A(_05898_),
+    .X(_05925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09572_ (.A(_06218_),
-    .X(_06234_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09015_ (.A(_05925_),
+    .X(_05926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09573_ (.A1(net193),
-    .A2(_06233_),
-    .A3(_06230_),
+ sky130_fd_sc_hd__a32o_1 _09016_ (.A1(_00698_),
+    .A2(_05926_),
+    .A3(_05922_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
-    .B2(_06234_),
+    .B2(_05920_),
     .X(_02613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09574_ (.A(_06222_),
-    .X(_06235_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09017_ (.A(_05914_),
+    .X(_05927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09575_ (.A1(_00697_),
-    .A2(_06233_),
-    .A3(_06235_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09018_ (.A(_05910_),
+    .X(_05928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_1 _09019_ (.A1(_00697_),
+    .A2(_05926_),
+    .A3(_05927_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[7] ),
-    .B2(_06234_),
+    .B2(_05928_),
     .X(_02612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09576_ (.A1(net166),
-    .A2(_06233_),
-    .A3(_06235_),
+ sky130_fd_sc_hd__a32o_1 _09020_ (.A1(_00696_),
+    .A2(_05926_),
+    .A3(_05927_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
-    .B2(_06234_),
+    .B2(_05928_),
     .X(_02611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09577_ (.A1(_00695_),
-    .A2(_06233_),
-    .A3(_06235_),
+ sky130_fd_sc_hd__a32o_1 _09021_ (.A1(_00695_),
+    .A2(_05926_),
+    .A3(_05927_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
-    .B2(_06234_),
+    .B2(_05928_),
     .X(_02610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09578_ (.A(_06212_),
-    .X(_06236_),
+ sky130_fd_sc_hd__clkbuf_2 _09022_ (.A(_05925_),
+    .X(_05929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09579_ (.A(_06218_),
-    .X(_06237_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09580_ (.A1(net209),
-    .A2(_06236_),
-    .A3(_06235_),
+ sky130_fd_sc_hd__a32o_1 _09023_ (.A1(_00694_),
+    .A2(_05929_),
+    .A3(_05927_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[4] ),
-    .B2(_06237_),
+    .B2(_05928_),
     .X(_02609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09581_ (.A(_06222_),
-    .X(_06238_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09024_ (.A(_05914_),
+    .X(_05930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09582_ (.A1(net190),
-    .A2(_06236_),
-    .A3(_06238_),
+ sky130_fd_sc_hd__clkbuf_1 _09025_ (.A(_05910_),
+    .X(_05931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_1 _09026_ (.A1(_00693_),
+    .A2(_05929_),
+    .A3(_05930_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[3] ),
-    .B2(_06237_),
+    .B2(_05931_),
     .X(_02608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09583_ (.A1(_06209_),
-    .A2(_06236_),
-    .A3(_06238_),
+ sky130_fd_sc_hd__a32o_1 _09027_ (.A1(_00692_),
+    .A2(_05929_),
+    .A3(_05930_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[2] ),
-    .B2(_06237_),
+    .B2(_05931_),
     .X(_02607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09584_ (.A1(_06210_),
-    .A2(_06236_),
-    .A3(_06238_),
+ sky130_fd_sc_hd__a32o_1 _09028_ (.A1(_00691_),
+    .A2(_05929_),
+    .A3(_05930_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[1] ),
-    .B2(_06237_),
+    .B2(_05931_),
     .X(_02606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09585_ (.A(_06212_),
-    .X(_06239_),
+ sky130_fd_sc_hd__buf_2 _09029_ (.A(_05925_),
+    .X(_05932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09586_ (.A1(net180),
-    .A2(_06239_),
-    .A3(_06238_),
+ sky130_fd_sc_hd__a32o_1 _09030_ (.A1(_00690_),
+    .A2(_05932_),
+    .A3(_05930_),
     .B1(\u_usb_host.u_core.u_sie.data_len_i[0] ),
-    .B2(_06219_),
+    .B2(_05931_),
     .X(_02605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _09587_ (.A(_06198_),
-    .B(_05996_),
-    .X(_06240_),
+ sky130_fd_sc_hd__or2_1 _09031_ (.A(_05894_),
+    .B(_05698_),
+    .X(_05933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09588_ (.A(_06240_),
-    .X(_06241_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09032_ (.A(_05933_),
+    .X(_05934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09589_ (.A(_06241_),
-    .X(_06242_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09033_ (.A(_05934_),
+    .X(_05935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09590_ (.A(_06240_),
-    .Y(_06243_),
+ sky130_fd_sc_hd__inv_2 _09034_ (.A(_05933_),
+    .Y(_05936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09591_ (.A(_06243_),
-    .X(_06244_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09035_ (.A(_05936_),
+    .X(_05937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09592_ (.A(_06244_),
-    .X(_06245_),
+ sky130_fd_sc_hd__clkbuf_1 _09036_ (.A(_05937_),
+    .X(_05938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09593_ (.A1(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
-    .A2(_06242_),
-    .B1(net143),
-    .B2(_06245_),
+ sky130_fd_sc_hd__a22o_1 _09037_ (.A1(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+    .A2(_05935_),
+    .B1(net110),
+    .B2(_05938_),
     .X(_02604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09594_ (.A(_06241_),
-    .X(_06246_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09038_ (.A(_05934_),
+    .X(_05939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09595_ (.A1(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
-    .A2(_06246_),
-    .B1(net141),
-    .B2(_06245_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09039_ (.A(_05937_),
+    .X(_05940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _09040_ (.A1(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+    .A2(_05939_),
+    .B1(net102),
+    .B2(_05940_),
     .X(_02603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09596_ (.A1(\u_usb_host.u_core.u_sie.data_idx_i ),
-    .A2(_06246_),
-    .B1(net149),
-    .B2(_06245_),
+ sky130_fd_sc_hd__a22o_1 _09041_ (.A1(\u_usb_host.u_core.u_sie.data_idx_i ),
+    .A2(_05939_),
+    .B1(net106),
+    .B2(_05940_),
     .X(_02602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09597_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
-    .A2(_06246_),
-    .B1(net151),
-    .B2(_06245_),
+ sky130_fd_sc_hd__a22o_1 _09042_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+    .A2(_05939_),
+    .B1(net111),
+    .B2(_05940_),
     .X(_02601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09598_ (.A(_06244_),
-    .X(_06247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09599_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
-    .A2(_06246_),
-    .B1(net147),
-    .B2(_06247_),
+ sky130_fd_sc_hd__a22o_1 _09043_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
+    .A2(_05939_),
+    .B1(net115),
+    .B2(_05940_),
     .X(_02600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09600_ (.A(_06241_),
-    .X(_06248_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09044_ (.A(_05934_),
+    .X(_05941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09601_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
-    .A2(_06248_),
-    .B1(net154),
-    .B2(_06247_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09045_ (.A(_05937_),
+    .X(_05942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _09046_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+    .A2(_05941_),
+    .B1(net108),
+    .B2(_05942_),
     .X(_02599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09602_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
-    .A2(_06248_),
-    .B1(net157),
-    .B2(_06247_),
+ sky130_fd_sc_hd__a22o_1 _09047_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+    .A2(_05941_),
+    .B1(net114),
+    .B2(_05942_),
     .X(_02598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09603_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
-    .A2(_06248_),
-    .B1(net160),
-    .B2(_06247_),
+ sky130_fd_sc_hd__a22o_1 _09048_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+    .A2(_05941_),
+    .B1(net113),
+    .B2(_05942_),
     .X(_02597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09604_ (.A(_06244_),
-    .X(_06249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09605_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
-    .A2(_06248_),
-    .B1(net163),
-    .B2(_06249_),
+ sky130_fd_sc_hd__a22o_1 _09049_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+    .A2(_05941_),
+    .B1(net129),
+    .B2(_05942_),
     .X(_02596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09606_ (.A(_06240_),
-    .X(_06250_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09050_ (.A(_05934_),
+    .X(_05943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09607_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
-    .A2(_06250_),
-    .B1(_00707_),
-    .B2(_06249_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09051_ (.A(_05937_),
+    .X(_05944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _09052_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+    .A2(_05943_),
+    .B1(net116),
+    .B2(_05944_),
     .X(_02595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09608_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
-    .A2(_06250_),
-    .B1(_00706_),
-    .B2(_06249_),
+ sky130_fd_sc_hd__a22o_1 _09053_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+    .A2(_05943_),
+    .B1(net118),
+    .B2(_05944_),
     .X(_02594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09609_ (.A(_06241_),
-    .X(_06251_),
+ sky130_fd_sc_hd__clkbuf_2 _09054_ (.A(_05933_),
+    .X(_05945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09610_ (.A(_06243_),
-    .X(_06252_),
+ sky130_fd_sc_hd__clkbuf_1 _09055_ (.A(_05945_),
+    .X(_05946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09611_ (.A(_06252_),
-    .X(_06253_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09056_ (.A(_05936_),
+    .X(_05947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09612_ (.A1(net176),
-    .A2(_06251_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09057_ (.A(_05947_),
+    .X(_05948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _09058_ (.A1(_05913_),
+    .A2(_05946_),
     .B1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
-    .B2(_06253_),
+    .B2(_05948_),
     .X(_02593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09613_ (.A1(net174),
-    .A2(_06251_),
+ sky130_fd_sc_hd__o22a_1 _09059_ (.A1(_05916_),
+    .A2(_05946_),
     .B1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
-    .B2(_06253_),
+    .B2(_05948_),
     .X(_02592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09614_ (.A1(net170),
-    .A2(_06251_),
+ sky130_fd_sc_hd__o22a_1 _09060_ (.A1(_05917_),
+    .A2(_05946_),
     .B1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
-    .B2(_06253_),
+    .B2(_05948_),
     .X(_02591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09615_ (.A1(net173),
-    .A2(_06251_),
+ sky130_fd_sc_hd__o22a_1 _09061_ (.A1(_05919_),
+    .A2(_05946_),
     .B1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
-    .B2(_06253_),
+    .B2(_05948_),
     .X(_02590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09616_ (.A(_06244_),
-    .X(_06254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09617_ (.A1(net171),
-    .A2(_06242_),
+ sky130_fd_sc_hd__o22a_1 _09062_ (.A1(_05921_),
+    .A2(_05935_),
     .B1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
-    .B2(_06254_),
+    .B2(_05938_),
     .X(_02589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09618_ (.A1(net175),
-    .A2(_06242_),
+ sky130_fd_sc_hd__o22a_1 _09063_ (.A1(_05923_),
+    .A2(_05935_),
     .B1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
-    .B2(_06254_),
+    .B2(_05938_),
     .X(_02588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09619_ (.A1(net172),
-    .A2(_06242_),
+ sky130_fd_sc_hd__o22a_1 _09064_ (.A1(_05924_),
+    .A2(_05935_),
     .B1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
-    .B2(_06254_),
+    .B2(_05938_),
     .X(_02587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09620_ (.A(_06250_),
-    .X(_06255_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09621_ (.A1(_00698_),
-    .A2(_06239_),
-    .A3(_06249_),
+ sky130_fd_sc_hd__a32o_1 _09065_ (.A1(net131),
+    .A2(_05932_),
+    .A3(_05944_),
     .B1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
-    .B2(_06255_),
+    .B2(_05943_),
     .X(_02586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09622_ (.A1(_00697_),
-    .A2(_06239_),
-    .A3(_06252_),
+ sky130_fd_sc_hd__a32o_1 _09066_ (.A1(_00697_),
+    .A2(_05932_),
+    .A3(_05947_),
     .B1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
-    .B2(_06255_),
+    .B2(_05943_),
     .X(_02585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09623_ (.A1(net166),
-    .A2(_06239_),
-    .A3(_06252_),
+ sky130_fd_sc_hd__a32o_1 _09067_ (.A1(_00696_),
+    .A2(_05932_),
+    .A3(_05947_),
     .B1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
-    .B2(_06255_),
+    .B2(_05945_),
     .X(_02584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09624_ (.A(_06220_),
-    .X(_06256_),
+ sky130_fd_sc_hd__buf_2 _09068_ (.A(_05925_),
+    .X(_05949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09625_ (.A1(net177),
-    .A2(_06256_),
-    .A3(_06252_),
+ sky130_fd_sc_hd__a32o_1 _09069_ (.A1(_00695_),
+    .A2(_05949_),
+    .A3(_05947_),
     .B1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
-    .B2(_06255_),
+    .B2(_05945_),
     .X(_02583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09626_ (.A(_06199_),
-    .X(_06257_),
+ sky130_fd_sc_hd__buf_2 _09070_ (.A(_05895_),
+    .X(_05950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09627_ (.A(_06257_),
-    .X(_06258_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09071_ (.A(_05950_),
+    .X(_05951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09628_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
-    .X(_06259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09629_ (.A1(_00697_),
-    .A2(_06256_),
-    .A3(_05695_),
-    .B1(_06258_),
-    .B2(_06259_),
+ sky130_fd_sc_hd__a32o_1 _09072_ (.A1(_00697_),
+    .A2(_05949_),
+    .A3(\u_usb_host.u_core.cfg_wr ),
+    .B1(_05951_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .X(_02582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09630_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
-    .X(_06260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09631_ (.A1(_00696_),
-    .A2(_06256_),
-    .A3(_05695_),
-    .B1(_06258_),
-    .B2(_06260_),
+ sky130_fd_sc_hd__a32o_1 _09073_ (.A1(_00696_),
+    .A2(_05949_),
+    .A3(\u_usb_host.u_core.cfg_wr ),
+    .B1(_05951_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .X(_02581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09632_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
-    .X(_06261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09633_ (.A1(_00695_),
-    .A2(_06256_),
-    .A3(_05695_),
-    .B1(_06258_),
-    .B2(_06261_),
+ sky130_fd_sc_hd__a32o_1 _09074_ (.A1(_00695_),
+    .A2(_05949_),
+    .A3(\u_usb_host.u_core.cfg_wr ),
+    .B1(_05951_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .X(_02580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09634_ (.A(_06220_),
-    .X(_06262_),
+ sky130_fd_sc_hd__clkbuf_1 _09075_ (.A(_05912_),
+    .X(_05952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09635_ (.A(\u_usb_host.u_core.cfg_wr ),
-    .X(_06263_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09076_ (.A(_05950_),
+    .X(_05953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09636_ (.A(_06257_),
-    .X(_06264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09637_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
-    .X(_06265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09638_ (.A1(_00694_),
-    .A2(_06262_),
-    .A3(_06263_),
-    .B1(_06264_),
-    .B2(_06265_),
+ sky130_fd_sc_hd__a32o_1 _09077_ (.A1(_00694_),
+    .A2(_05952_),
+    .A3(\u_usb_host.u_core.cfg_wr ),
+    .B1(_05953_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .X(_02579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09639_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
-    .X(_06266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09640_ (.A1(_00693_),
-    .A2(_06262_),
-    .A3(_06263_),
-    .B1(_06264_),
-    .B2(_06266_),
+ sky130_fd_sc_hd__a32o_1 _09078_ (.A1(_00693_),
+    .A2(_05952_),
+    .A3(\u_usb_host.u_core.cfg_wr ),
+    .B1(_05953_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .X(_02578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09641_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
-    .X(_06267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09642_ (.A1(_00692_),
-    .A2(_06262_),
-    .A3(_06263_),
-    .B1(_06264_),
-    .B2(_06267_),
+ sky130_fd_sc_hd__a32o_1 _09079_ (.A1(_00692_),
+    .A2(_05952_),
+    .A3(\u_usb_host.u_core.cfg_wr ),
+    .B1(_05953_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .X(_02577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09643_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
-    .X(_06268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09644_ (.A1(_00691_),
-    .A2(_06262_),
-    .A3(_06263_),
-    .B1(_06264_),
-    .B2(_06268_),
+ sky130_fd_sc_hd__a32o_1 _09080_ (.A1(_00691_),
+    .A2(_05952_),
+    .A3(\u_usb_host.u_core.cfg_wr ),
+    .B1(_05953_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .X(_02576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09645_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
-    .X(_06269_),
+ sky130_fd_sc_hd__buf_2 _09081_ (.A(_05898_),
+    .X(_05954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09646_ (.A1(_00690_),
-    .A2(_06203_),
+ sky130_fd_sc_hd__a32o_1 _09082_ (.A1(_00690_),
+    .A2(_05954_),
     .A3(\u_usb_host.u_core.cfg_wr ),
-    .B1(_06257_),
-    .B2(_06269_),
+    .B1(_05950_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
     .X(_02575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09647_ (.A(\u_usb_host.u_core.sof_time_q[11] ),
-    .Y(_06270_),
+ sky130_fd_sc_hd__inv_2 _09083_ (.A(\u_usb_host.u_core.sof_time_q[11] ),
+    .Y(_05955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09648_ (.A(_06270_),
+ sky130_fd_sc_hd__or2_1 _09084_ (.A(_05955_),
     .B(\u_usb_host.u_core.sof_time_q[10] ),
-    .X(_06271_),
+    .X(_05956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09649_ (.A(\u_usb_host.u_core.sof_time_q[9] ),
-    .Y(_06272_),
+ sky130_fd_sc_hd__inv_2 _09085_ (.A(\u_usb_host.u_core.sof_time_q[9] ),
+    .Y(_05957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09650_ (.A(\u_usb_host.u_core.sof_time_q[8] ),
-    .Y(_06273_),
+ sky130_fd_sc_hd__inv_2 _09086_ (.A(\u_usb_host.u_core.sof_time_q[8] ),
+    .Y(_05958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09651_ (.A(_05978_),
+ sky130_fd_sc_hd__or4_1 _09087_ (.A(_05681_),
     .B(\u_usb_host.u_core.sof_time_q[14] ),
-    .C(_06008_),
-    .D(_06016_),
-    .X(_06274_),
+    .C(_05709_),
+    .D(_05717_),
+    .X(_05959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09652_ (.A(_06272_),
-    .B(_06273_),
-    .C(_06274_),
-    .X(_06275_),
+ sky130_fd_sc_hd__or3_1 _09088_ (.A(_05957_),
+    .B(_05958_),
+    .C(_05959_),
+    .X(_05960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09653_ (.A(_06067_),
-    .B(_06073_),
-    .C(_06061_),
-    .X(_06276_),
+ sky130_fd_sc_hd__or3_1 _09089_ (.A(_05766_),
+    .B(_05772_),
+    .C(_05760_),
+    .X(_05961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09654_ (.A(_06055_),
-    .B(_06276_),
-    .X(_06277_),
+ sky130_fd_sc_hd__or2_1 _09090_ (.A(_05754_),
+    .B(_05961_),
+    .X(_05962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09655_ (.A(_06048_),
-    .B(_06277_),
-    .X(_06278_),
+ sky130_fd_sc_hd__or2_1 _09091_ (.A(_05747_),
+    .B(_05962_),
+    .X(_05963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09656_ (.A(_06042_),
-    .B(_06278_),
-    .X(_06279_),
+ sky130_fd_sc_hd__or2_1 _09092_ (.A(_05741_),
+    .B(_05963_),
+    .X(_05964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09657_ (.A(_06036_),
-    .B(_06279_),
-    .X(_06280_),
+ sky130_fd_sc_hd__or2_1 _09093_ (.A(_05735_),
+    .B(_05964_),
+    .X(_05965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09658_ (.A(\u_usb_host.u_core.sof_time_q[7] ),
-    .B(_06271_),
-    .C(_06275_),
-    .D(_06280_),
-    .X(_06281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _09659_ (.A(_06188_),
+ sky130_fd_sc_hd__or4_2 _09094_ (.A(\u_usb_host.u_core.sof_time_q[7] ),
     .B(_05956_),
-    .C(_06281_),
-    .X(_06282_),
+    .C(_05960_),
+    .D(_05965_),
+    .X(_05966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09660_ (.A(_06282_),
-    .Y(_06283_),
+ sky130_fd_sc_hd__or3_1 _09095_ (.A(_05885_),
+    .B(_05658_),
+    .C(_05966_),
+    .X(_05967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09661_ (.A(_06283_),
+ sky130_fd_sc_hd__inv_2 _09096_ (.A(_05967_),
+    .Y(_05968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09097_ (.A(_05968_),
     .X(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _09662_ (.A(\u_usb_host.u_core.sof_value_q[0] ),
-    .B(_06283_),
+ sky130_fd_sc_hd__and3_1 _09098_ (.A(\u_usb_host.u_core.sof_value_q[0] ),
+    .B(_05968_),
     .C(\u_usb_host.u_core.sof_value_q[1] ),
-    .X(_06284_),
+    .X(_05969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09663_ (.A(\u_usb_host.u_core.sof_value_q[2] ),
-    .B(_06284_),
-    .Y(_06285_),
+ sky130_fd_sc_hd__nand2_1 _09099_ (.A(\u_usb_host.u_core.sof_value_q[2] ),
+    .B(_05969_),
+    .Y(_05970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09664_ (.A(_06285_),
-    .Y(_06286_),
+ sky130_fd_sc_hd__inv_2 _09100_ (.A(_05970_),
+    .Y(_05971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09665_ (.A(\u_usb_host.u_core.sof_value_q[3] ),
-    .B(_06286_),
-    .Y(_06287_),
+ sky130_fd_sc_hd__nand2_1 _09101_ (.A(\u_usb_host.u_core.sof_value_q[3] ),
+    .B(_05971_),
+    .Y(_05972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09666_ (.A(_06287_),
-    .Y(_06288_),
+ sky130_fd_sc_hd__inv_2 _09102_ (.A(_05972_),
+    .Y(_05973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09667_ (.A(\u_usb_host.u_core.sof_value_q[4] ),
-    .B(_06288_),
-    .Y(_06289_),
+ sky130_fd_sc_hd__nand2_1 _09103_ (.A(\u_usb_host.u_core.sof_value_q[4] ),
+    .B(_05973_),
+    .Y(_05974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09668_ (.A(_06289_),
-    .Y(_06290_),
+ sky130_fd_sc_hd__inv_2 _09104_ (.A(_05974_),
+    .Y(_05975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09669_ (.A(\u_usb_host.u_core.sof_value_q[5] ),
-    .B(_06290_),
-    .Y(_06291_),
+ sky130_fd_sc_hd__nand2_1 _09105_ (.A(\u_usb_host.u_core.sof_value_q[5] ),
+    .B(_05975_),
+    .Y(_05976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09670_ (.A(_06291_),
-    .Y(_06292_),
+ sky130_fd_sc_hd__inv_2 _09106_ (.A(_05976_),
+    .Y(_05977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09671_ (.A(\u_usb_host.u_core.sof_value_q[6] ),
-    .B(_06292_),
-    .Y(_06293_),
+ sky130_fd_sc_hd__nand2_1 _09107_ (.A(\u_usb_host.u_core.sof_value_q[6] ),
+    .B(_05977_),
+    .Y(_05978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09672_ (.A(_06293_),
-    .Y(_06294_),
+ sky130_fd_sc_hd__inv_2 _09108_ (.A(_05978_),
+    .Y(_05979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09673_ (.A(\u_usb_host.u_core.sof_value_q[7] ),
-    .B(_06294_),
-    .Y(_06295_),
+ sky130_fd_sc_hd__nand2_1 _09109_ (.A(\u_usb_host.u_core.sof_value_q[7] ),
+    .B(_05979_),
+    .Y(_05980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09674_ (.A(_06295_),
-    .Y(_06296_),
+ sky130_fd_sc_hd__inv_2 _09110_ (.A(_05980_),
+    .Y(_05981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09675_ (.A(\u_usb_host.u_core.sof_value_q[8] ),
-    .B(_06296_),
-    .Y(_06297_),
+ sky130_fd_sc_hd__nand2_1 _09111_ (.A(\u_usb_host.u_core.sof_value_q[8] ),
+    .B(_05981_),
+    .Y(_05982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09676_ (.A(_06297_),
-    .Y(_06298_),
+ sky130_fd_sc_hd__inv_2 _09112_ (.A(_05982_),
+    .Y(_05983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09677_ (.A(\u_usb_host.u_core.sof_value_q[10] ),
-    .Y(_06299_),
+ sky130_fd_sc_hd__inv_2 _09113_ (.A(\u_usb_host.u_core.sof_value_q[10] ),
+    .Y(_05984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09678_ (.A(\u_usb_host.u_core.sof_value_q[9] ),
-    .B(_06298_),
-    .Y(_06300_),
+ sky130_fd_sc_hd__nand2_1 _09114_ (.A(\u_usb_host.u_core.sof_value_q[9] ),
+    .B(_05983_),
+    .Y(_05985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09679_ (.A1(\u_usb_host.u_core.sof_value_q[9] ),
-    .A2(_06298_),
-    .A3(_06299_),
+ sky130_fd_sc_hd__a32o_1 _09115_ (.A1(\u_usb_host.u_core.sof_value_q[9] ),
+    .A2(_05983_),
+    .A3(_05984_),
     .B1(\u_usb_host.u_core.sof_value_q[10] ),
-    .B2(_06300_),
+    .B2(_05985_),
     .X(_02574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09680_ (.A1(\u_usb_host.u_core.sof_value_q[9] ),
-    .A2(_06298_),
-    .B1(_06300_),
+ sky130_fd_sc_hd__o21a_1 _09116_ (.A1(\u_usb_host.u_core.sof_value_q[9] ),
+    .A2(_05983_),
+    .B1(_05985_),
     .X(_02573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09681_ (.A1(\u_usb_host.u_core.sof_value_q[8] ),
-    .A2(_06296_),
-    .B1(_06297_),
+ sky130_fd_sc_hd__o21a_1 _09117_ (.A1(\u_usb_host.u_core.sof_value_q[8] ),
+    .A2(_05981_),
+    .B1(_05982_),
     .X(_02572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09682_ (.A1(\u_usb_host.u_core.sof_value_q[7] ),
-    .A2(_06294_),
-    .B1(_06295_),
+ sky130_fd_sc_hd__o21a_1 _09118_ (.A1(\u_usb_host.u_core.sof_value_q[7] ),
+    .A2(_05979_),
+    .B1(_05980_),
     .X(_02571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09683_ (.A1(\u_usb_host.u_core.sof_value_q[6] ),
-    .A2(_06292_),
-    .B1(_06293_),
+ sky130_fd_sc_hd__o21a_1 _09119_ (.A1(\u_usb_host.u_core.sof_value_q[6] ),
+    .A2(_05977_),
+    .B1(_05978_),
     .X(_02570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09684_ (.A1(\u_usb_host.u_core.sof_value_q[5] ),
-    .A2(_06290_),
-    .B1(_06291_),
+ sky130_fd_sc_hd__o21a_1 _09120_ (.A1(\u_usb_host.u_core.sof_value_q[5] ),
+    .A2(_05975_),
+    .B1(_05976_),
     .X(_02569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09685_ (.A1(\u_usb_host.u_core.sof_value_q[4] ),
-    .A2(_06288_),
-    .B1(_06289_),
+ sky130_fd_sc_hd__o21a_1 _09121_ (.A1(\u_usb_host.u_core.sof_value_q[4] ),
+    .A2(_05973_),
+    .B1(_05974_),
     .X(_02568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09686_ (.A1(\u_usb_host.u_core.sof_value_q[3] ),
-    .A2(_06286_),
-    .B1(_06287_),
+ sky130_fd_sc_hd__o21a_1 _09122_ (.A1(\u_usb_host.u_core.sof_value_q[3] ),
+    .A2(_05971_),
+    .B1(_05972_),
     .X(_02567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09687_ (.A1(\u_usb_host.u_core.sof_value_q[2] ),
-    .A2(_06284_),
-    .B1(_06285_),
+ sky130_fd_sc_hd__o21a_1 _09123_ (.A1(\u_usb_host.u_core.sof_value_q[2] ),
+    .A2(_05969_),
+    .B1(_05970_),
     .X(_02566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09688_ (.A(_06283_),
-    .X(_06301_),
+ sky130_fd_sc_hd__clkbuf_2 _09124_ (.A(_05968_),
+    .X(_05986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _09689_ (.A1(\u_usb_host.u_core.sof_value_q[0] ),
-    .A2(_06301_),
+ sky130_fd_sc_hd__a21oi_1 _09125_ (.A1(\u_usb_host.u_core.sof_value_q[0] ),
+    .A2(_05986_),
     .B1(\u_usb_host.u_core.sof_value_q[1] ),
-    .Y(_06302_),
+    .Y(_05987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09690_ (.A(_06284_),
-    .B(_06302_),
+ sky130_fd_sc_hd__nor2_1 _09126_ (.A(_05969_),
+    .B(_05987_),
     .Y(_02565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09691_ (.A1_N(\u_usb_host.u_core.sof_value_q[0] ),
+ sky130_fd_sc_hd__o2bb2a_1 _09127_ (.A1_N(\u_usb_host.u_core.sof_value_q[0] ),
     .A2_N(\u_usb_host.u_core.send_sof_w ),
     .B1(\u_usb_host.u_core.sof_value_q[0] ),
     .B2(\u_usb_host.u_core.send_sof_w ),
@@ -192478,3881 +191093,3676 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09692_ (.A1_N(_05598_),
-    .A2_N(_05600_),
+ sky130_fd_sc_hd__a2bb2o_1 _09128_ (.A1_N(_05362_),
+    .A2_N(_05364_),
     .B1(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .B2(_05597_),
+    .B2(_05362_),
     .X(_02563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09693_ (.A0(_05599_),
+ sky130_fd_sc_hd__mux2_1 _09129_ (.A0(_05363_),
     .A1(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[0] ),
-    .S(net182),
+    .S(_05361_),
+    .X(_05988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09130_ (.A(_05988_),
     .X(_02562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _09694_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
+ sky130_fd_sc_hd__or2_2 _09131_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
     .B(\u_usb_host.u_phy.sample_cnt_q[0] ),
-    .X(_06303_),
+    .X(_05989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09695_ (.A(_06303_),
-    .Y(_06304_),
+ sky130_fd_sc_hd__inv_2 _09132_ (.A(_05989_),
+    .Y(_05990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09696_ (.A(_06304_),
-    .X(_06305_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09133_ (.A(_05990_),
+    .X(_05991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09697_ (.A(_06305_),
-    .X(_06306_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09134_ (.A(_05991_),
+    .X(_05992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09698_ (.A(_06306_),
-    .X(_06307_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09135_ (.A(_05992_),
+    .X(_05993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09699_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
-    .Y(_06308_),
+ sky130_fd_sc_hd__inv_2 _09136_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
+    .Y(_05994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09700_ (.A(\u_usb_host.u_phy.sample_cnt_q[0] ),
-    .Y(_06309_),
+ sky130_fd_sc_hd__inv_2 _09137_ (.A(\u_usb_host.u_phy.sample_cnt_q[0] ),
+    .Y(_05995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09701_ (.A(_06308_),
-    .B(_06309_),
-    .Y(_06310_),
+ sky130_fd_sc_hd__nor2_1 _09138_ (.A(_05994_),
+    .B(_05995_),
+    .Y(_05996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09702_ (.A(\u_usb_host.u_phy.state_q[4] ),
-    .X(_06311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09703_ (.A(_06311_),
+ sky130_fd_sc_hd__nor2_1 _09139_ (.A(\u_usb_host.u_phy.state_q[4] ),
     .B(\u_usb_host.u_phy.state_q[7] ),
-    .Y(_06312_),
+    .Y(_05997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09704_ (.A(\u_usb_host.u_phy.state_q[0] ),
+ sky130_fd_sc_hd__nor2_1 _09140_ (.A(\u_usb_host.u_phy.state_q[0] ),
     .B(\u_usb_host.u_phy.state_q[11] ),
-    .Y(_06313_),
+    .Y(_05998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09705_ (.A(_06313_),
-    .X(_06314_),
+ sky130_fd_sc_hd__clkbuf_2 _09141_ (.A(_05998_),
+    .X(_05999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _09706_ (.A(_05909_),
+ sky130_fd_sc_hd__nor3_1 _09142_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .B(\u_usb_host.u_phy.state_q[6] ),
     .C(\u_usb_host.u_phy.state_q[9] ),
-    .Y(_06315_),
+    .Y(_06000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09707_ (.A(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__or2_1 _09143_ (.A(\u_usb_host.u_phy.rx_dp_q ),
     .B(\u_usb_host.u_phy.rx_dn_q ),
-    .X(_06316_),
+    .X(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09708_ (.A(\u_usb_host.u_phy.rxd_q ),
-    .B(_06316_),
-    .Y(_06317_),
+ sky130_fd_sc_hd__nand2_1 _09144_ (.A(\u_usb_host.u_phy.rxd_q ),
+    .B(_06001_),
+    .Y(_06002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09709_ (.A1_N(\u_usb_host.u_phy.rxd_last_q ),
-    .A2_N(_06317_),
+ sky130_fd_sc_hd__o2bb2a_1 _09145_ (.A1_N(\u_usb_host.u_phy.rxd_last_q ),
+    .A2_N(_06002_),
     .B1(\u_usb_host.u_phy.rxd_last_q ),
-    .B2(_06317_),
-    .X(_06318_),
+    .B2(_06002_),
+    .X(_06003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _09710_ (.A1(_06312_),
-    .A2(_06314_),
-    .A3(_06315_),
-    .B1(_06318_),
-    .X(_06319_),
+ sky130_fd_sc_hd__a31o_1 _09146_ (.A1(_05997_),
+    .A2(_05999_),
+    .A3(_06000_),
+    .B1(_06003_),
+    .X(_06004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09711_ (.A(\u_usb_host.u_phy.adjust_delayed_q ),
-    .Y(_06320_),
+ sky130_fd_sc_hd__inv_2 _09147_ (.A(\u_usb_host.u_phy.adjust_delayed_q ),
+    .Y(_06005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _09712_ (.A1(_06306_),
-    .A2(_06319_),
-    .B1(_06320_),
-    .Y(_06321_),
+ sky130_fd_sc_hd__o21ai_1 _09148_ (.A1(_05992_),
+    .A2(_06004_),
+    .B1(_06005_),
+    .Y(_06006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _09713_ (.A1(_06307_),
-    .A2(_06310_),
-    .A3(_06321_),
-    .B1(_06308_),
-    .B2(_06320_),
-    .X(_06322_),
+ sky130_fd_sc_hd__o32a_1 _09149_ (.A1(_05993_),
+    .A2(_05996_),
+    .A3(_06006_),
+    .B1(_05994_),
+    .B2(_06005_),
+    .X(_06007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09714_ (.A(_06322_),
+ sky130_fd_sc_hd__inv_2 _09150_ (.A(_06007_),
     .Y(_02561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _09715_ (.A1_N(_06309_),
-    .A2_N(_06321_),
+ sky130_fd_sc_hd__o2bb2a_1 _09151_ (.A1_N(_05995_),
+    .A2_N(_06006_),
     .B1(\u_usb_host.u_phy.adjust_delayed_q ),
-    .B2(_06309_),
+    .B2(_05995_),
     .X(_02560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09716_ (.A(_06317_),
+ sky130_fd_sc_hd__inv_2 _09152_ (.A(_06002_),
     .Y(\u_usb_host.u_phy.in_j_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09717_ (.A(\u_usb_host.u_phy.state_q[0] ),
-    .Y(_06323_),
+ sky130_fd_sc_hd__inv_2 _09153_ (.A(\u_usb_host.u_phy.state_q[0] ),
+    .Y(_06008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09718_ (.A(_06323_),
-    .X(_06324_),
+ sky130_fd_sc_hd__clkbuf_2 _09154_ (.A(_06008_),
+    .X(_06009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09719_ (.A(_06324_),
-    .X(_06325_),
+ sky130_fd_sc_hd__clkbuf_2 _09155_ (.A(_06009_),
+    .X(_06010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09720_ (.A(_06303_),
-    .X(_06326_),
+ sky130_fd_sc_hd__clkbuf_1 _09156_ (.A(_05989_),
+    .X(_06011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09721_ (.A(_06326_),
-    .X(_06327_),
+ sky130_fd_sc_hd__clkbuf_1 _09157_ (.A(_06011_),
+    .X(_06012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09722_ (.A(\u_usb_host.u_phy.state_q[0] ),
-    .X(_06328_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09158_ (.A(_06012_),
+    .X(_06013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09723_ (.A(_06328_),
-    .X(_06329_),
+ sky130_fd_sc_hd__or2_1 _09159_ (.A(\u_usb_host.u_phy.state_q[0] ),
+    .B(_05993_),
+    .X(_06014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09724_ (.A(_06329_),
-    .B(_06307_),
-    .X(_06330_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09725_ (.A1(_06325_),
-    .A2(_06327_),
+ sky130_fd_sc_hd__a32o_1 _09160_ (.A1(_06010_),
+    .A2(_06013_),
     .A3(\u_usb_host.u_phy.rxd_last_j_q ),
     .B1(\u_usb_host.u_phy.in_j_w ),
-    .B2(_06330_),
+    .B2(_06014_),
     .X(_02559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09726_ (.A(_06014_),
-    .X(_06331_),
+ sky130_fd_sc_hd__clkbuf_2 _09161_ (.A(_05715_),
+    .X(_06015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09727_ (.A(_05956_),
-    .Y(_06332_),
+ sky130_fd_sc_hd__clkinv_2 _09162_ (.A(_05658_),
+    .Y(_06016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09728_ (.A(_06332_),
-    .X(_06333_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09163_ (.A(_06016_),
+    .X(_06017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09729_ (.A(_06333_),
-    .X(_06334_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09164_ (.A(_06017_),
+    .X(_06018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09730_ (.A(_06334_),
-    .X(_06335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09731_ (.A1(\u_usb_host.u_core.u_sie.token_q[15] ),
-    .A2(_06331_),
+ sky130_fd_sc_hd__a22o_1 _09165_ (.A1(\u_usb_host.u_core.u_sie.token_q[15] ),
+    .A2(_06015_),
     .B1(\u_usb_host.u_core.token_dev_w[6] ),
-    .B2(_06335_),
+    .B2(_06018_),
     .X(_02558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09732_ (.A1(\u_usb_host.u_core.u_sie.token_q[14] ),
-    .A2(_06331_),
+ sky130_fd_sc_hd__a22o_1 _09166_ (.A1(\u_usb_host.u_core.u_sie.token_q[14] ),
+    .A2(_06015_),
     .B1(\u_usb_host.u_core.token_dev_w[5] ),
-    .B2(_06335_),
+    .B2(_06018_),
     .X(_02557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09733_ (.A1(\u_usb_host.u_core.u_sie.token_q[13] ),
-    .A2(_06331_),
+ sky130_fd_sc_hd__a22o_1 _09167_ (.A1(\u_usb_host.u_core.u_sie.token_q[13] ),
+    .A2(_06015_),
     .B1(\u_usb_host.u_core.token_dev_w[4] ),
-    .B2(_06335_),
+    .B2(_06018_),
     .X(_02556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09734_ (.A(_06014_),
-    .X(_06336_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09168_ (.A(_05714_),
+    .X(_06019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09735_ (.A1(\u_usb_host.u_core.u_sie.token_q[12] ),
-    .A2(_06336_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09169_ (.A(_06019_),
+    .X(_06020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _09170_ (.A1(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .A2(_06020_),
     .B1(\u_usb_host.u_core.token_dev_w[3] ),
-    .B2(_06335_),
+    .B2(_06018_),
     .X(_02555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09736_ (.A(_06333_),
-    .X(_06337_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09171_ (.A(_06016_),
+    .X(_06021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09737_ (.A1(\u_usb_host.u_core.u_sie.token_q[11] ),
-    .A2(_06336_),
+ sky130_fd_sc_hd__a22o_1 _09172_ (.A1(\u_usb_host.u_core.u_sie.token_q[11] ),
+    .A2(_06020_),
     .B1(\u_usb_host.u_core.token_dev_w[2] ),
-    .B2(_06337_),
+    .B2(_06021_),
     .X(_02554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09738_ (.A1(\u_usb_host.u_core.u_sie.token_q[10] ),
-    .A2(_06336_),
+ sky130_fd_sc_hd__a22o_1 _09173_ (.A1(\u_usb_host.u_core.u_sie.token_q[10] ),
+    .A2(_06020_),
     .B1(\u_usb_host.u_core.token_dev_w[1] ),
-    .B2(_06337_),
+    .B2(_06021_),
     .X(_02553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09739_ (.A(\u_usb_host.u_core.u_sie.token_q[9] ),
-    .X(_06338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09740_ (.A1(_06338_),
-    .A2(_06336_),
+ sky130_fd_sc_hd__a22o_1 _09174_ (.A1(\u_usb_host.u_core.u_sie.token_q[9] ),
+    .A2(_06020_),
     .B1(\u_usb_host.u_core.token_dev_w[0] ),
-    .B2(_06337_),
+    .B2(_06021_),
     .X(_02552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09741_ (.A(_06014_),
-    .X(_06339_),
+ sky130_fd_sc_hd__clkbuf_1 _09175_ (.A(_06019_),
+    .X(_06022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09742_ (.A1(\u_usb_host.u_core.u_sie.token_q[8] ),
-    .A2(_06339_),
+ sky130_fd_sc_hd__a22o_1 _09176_ (.A1(\u_usb_host.u_core.u_sie.token_q[8] ),
+    .A2(_06022_),
     .B1(\u_usb_host.u_core.token_ep_w[3] ),
-    .B2(_06337_),
+    .B2(_06021_),
     .X(_02551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09743_ (.A1(\u_usb_host.u_core.u_sie.token_q[7] ),
-    .A2(_06339_),
+ sky130_fd_sc_hd__a22o_1 _09177_ (.A1(\u_usb_host.u_core.u_sie.token_q[7] ),
+    .A2(_06022_),
     .B1(\u_usb_host.u_core.token_ep_w[2] ),
-    .B2(_06334_),
+    .B2(_06017_),
     .X(_02550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09744_ (.A1(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .A2(_06339_),
+ sky130_fd_sc_hd__a22o_1 _09178_ (.A1(\u_usb_host.u_core.u_sie.token_q[6] ),
+    .A2(_06022_),
     .B1(\u_usb_host.u_core.token_ep_w[1] ),
-    .B2(_06334_),
+    .B2(_06017_),
     .X(_02549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09745_ (.A1(\u_usb_host.u_core.u_sie.token_q[5] ),
-    .A2(_06339_),
+ sky130_fd_sc_hd__a22o_1 _09179_ (.A1(\u_usb_host.u_core.u_sie.token_q[5] ),
+    .A2(_06022_),
     .B1(\u_usb_host.u_core.token_ep_w[0] ),
-    .B2(_06334_),
+    .B2(_06017_),
     .X(_02548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09746_ (.A1(\u_usb_host.u_phy.sync_j_detected_q ),
-    .A2(_06311_),
-    .B1(_06325_),
+ sky130_fd_sc_hd__o21a_1 _09180_ (.A1(\u_usb_host.u_phy.sync_j_detected_q ),
+    .A2(\u_usb_host.u_phy.state_q[4] ),
+    .B1(_06010_),
     .X(_02547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09747_ (.A(\u_uart_core.u_txfsm.txstate[0] ),
-    .Y(_06340_),
+ sky130_fd_sc_hd__inv_2 _09181_ (.A(\u_uart_core.u_txfsm.txstate[0] ),
+    .Y(_06023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09748_ (.A(\u_uart_core.u_txfsm.txstate[3] ),
-    .Y(_06341_),
+ sky130_fd_sc_hd__inv_2 _09182_ (.A(\u_uart_core.u_txfsm.txstate[3] ),
+    .Y(_06024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09749_ (.A(_06341_),
-    .X(_06342_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09183_ (.A(_06024_),
+    .X(_06025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09750_ (.A(\u_uart_core.u_txfsm.txstate[2] ),
-    .Y(_06343_),
+ sky130_fd_sc_hd__inv_2 _09184_ (.A(\u_uart_core.u_txfsm.txstate[2] ),
+    .Y(_06026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09751_ (.A(\u_uart_core.u_txfsm.txstate[4] ),
+ sky130_fd_sc_hd__nor2_1 _09185_ (.A(\u_uart_core.u_txfsm.txstate[4] ),
     .B(\u_uart_core.u_txfsm.txstate[1] ),
-    .Y(_06344_),
+    .Y(_06027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09752_ (.A(\u_uart_core.cfg_tx_enable ),
-    .Y(_06345_),
+ sky130_fd_sc_hd__inv_2 _09186_ (.A(\u_uart_core.cfg_tx_enable ),
+    .Y(_06028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _09753_ (.A1(\u_uart_core.tx_fifo_rd_empty ),
-    .A2(_06345_),
+ sky130_fd_sc_hd__o21ai_1 _09187_ (.A1(\u_uart_core.tx_fifo_rd_empty ),
+    .A2(_06028_),
     .B1(\u_uart_core.u_txfsm.txstate[0] ),
-    .Y(_06346_),
+    .Y(_06029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09754_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
-    .B(\u_uart_core.u_txfsm.divcnt[1] ),
+ sky130_fd_sc_hd__or4_1 _09188_ (.A(\u_uart_core.u_txfsm.divcnt[1] ),
+    .B(\u_uart_core.u_txfsm.divcnt[0] ),
     .C(\u_uart_core.u_txfsm.divcnt[3] ),
     .D(\u_uart_core.u_txfsm.divcnt[2] ),
-    .X(_06347_),
+    .X(_06030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09755_ (.A(_06347_),
-    .Y(_06348_),
+ sky130_fd_sc_hd__inv_2 _09189_ (.A(_06030_),
+    .Y(_06031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09756_ (.A(_06346_),
-    .B(_06348_),
-    .Y(_06349_),
+ sky130_fd_sc_hd__nand2_1 _09190_ (.A(_06029_),
+    .B(_06031_),
+    .Y(_06032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a41o_1 _09757_ (.A1(_06340_),
-    .A2(_06342_),
-    .A3(_06343_),
-    .A4(_06344_),
-    .B1(_06349_),
-    .X(_06350_),
+ sky130_fd_sc_hd__a41o_1 _09191_ (.A1(_06023_),
+    .A2(_06025_),
+    .A3(_06026_),
+    .A4(_06027_),
+    .B1(_06032_),
+    .X(_06033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09758_ (.A(_00796_),
-    .Y(_06351_),
+ sky130_fd_sc_hd__inv_2 _09192_ (.A(_00796_),
+    .Y(_06034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09759_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .X(_06352_),
+ sky130_fd_sc_hd__inv_2 _09193_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .Y(_06035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09760_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .Y(_06353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09761_ (.A1_N(\u_uart_core.u_txfsm.txdata[1] ),
+ sky130_fd_sc_hd__a2bb2o_1 _09194_ (.A1_N(\u_uart_core.u_txfsm.txdata[1] ),
     .A2_N(\u_uart_core.u_txfsm.txdata[0] ),
     .B1(\u_uart_core.u_txfsm.txdata[1] ),
     .B2(\u_uart_core.u_txfsm.txdata[0] ),
-    .X(_06354_),
+    .X(_06036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09762_ (.A1_N(\u_uart_core.u_txfsm.txdata[5] ),
+ sky130_fd_sc_hd__a2bb2o_1 _09195_ (.A1_N(\u_uart_core.u_txfsm.txdata[5] ),
     .A2_N(\u_uart_core.u_txfsm.txdata[4] ),
     .B1(\u_uart_core.u_txfsm.txdata[5] ),
     .B2(\u_uart_core.u_txfsm.txdata[4] ),
-    .X(_06355_),
+    .X(_06037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09763_ (.A1_N(_06354_),
-    .A2_N(_06355_),
-    .B1(_06354_),
-    .B2(_06355_),
-    .X(_06356_),
+ sky130_fd_sc_hd__a2bb2o_1 _09196_ (.A1_N(_06036_),
+    .A2_N(_06037_),
+    .B1(_06036_),
+    .B2(_06037_),
+    .X(_06038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09764_ (.A1_N(\u_uart_core.u_txfsm.txdata[3] ),
+ sky130_fd_sc_hd__a2bb2o_1 _09197_ (.A1_N(\u_uart_core.u_txfsm.txdata[3] ),
     .A2_N(\u_uart_core.u_txfsm.txdata[2] ),
     .B1(\u_uart_core.u_txfsm.txdata[3] ),
     .B2(\u_uart_core.u_txfsm.txdata[2] ),
-    .X(_06357_),
+    .X(_06039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09765_ (.A1_N(\u_uart_core.u_txfsm.txdata[7] ),
+ sky130_fd_sc_hd__a2bb2o_1 _09198_ (.A1_N(\u_uart_core.u_txfsm.txdata[7] ),
     .A2_N(\u_uart_core.u_txfsm.txdata[6] ),
     .B1(\u_uart_core.u_txfsm.txdata[7] ),
     .B2(\u_uart_core.u_txfsm.txdata[6] ),
-    .X(_06358_),
+    .X(_06040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09766_ (.A1_N(_06357_),
-    .A2_N(_06358_),
-    .B1(_06357_),
-    .B2(_06358_),
-    .X(_06359_),
+ sky130_fd_sc_hd__a2bb2o_1 _09199_ (.A1_N(_06039_),
+    .A2_N(_06040_),
+    .B1(_06039_),
+    .B2(_06040_),
+    .X(_06041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _09767_ (.A1_N(_06356_),
-    .A2_N(_06359_),
-    .B1(_06356_),
-    .B2(_06359_),
-    .X(_06360_),
+ sky130_fd_sc_hd__a2bb2o_1 _09200_ (.A1_N(_06038_),
+    .A2_N(_06041_),
+    .B1(_06038_),
+    .B2(_06041_),
+    .X(_06042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _09768_ (.A1(_06352_),
-    .A2(_06353_),
-    .B1(_06360_),
-    .Y(_06361_),
+ sky130_fd_sc_hd__a21oi_1 _09201_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_06035_),
+    .B1(_06042_),
+    .Y(_06043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _09769_ (.A1(_06352_),
-    .A2(_06353_),
-    .A3(_06360_),
-    .B1(_06343_),
-    .X(_06362_),
+ sky130_fd_sc_hd__a31o_1 _09202_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_06035_),
+    .A3(_06042_),
+    .B1(_06026_),
+    .X(_06044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09770_ (.A1(_06342_),
-    .A2(_06351_),
-    .B1(_06361_),
-    .B2(_06362_),
-    .C1(_06344_),
-    .X(_06363_),
+ sky130_fd_sc_hd__o221a_1 _09203_ (.A1(_06025_),
+    .A2(_06034_),
+    .B1(_06043_),
+    .B2(_06044_),
+    .C1(_06027_),
+    .X(_06045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2ai_1 _09771_ (.A1_N(\u_uart_core.txd ),
-    .A2_N(_06350_),
-    .B1(_06350_),
-    .B2(_06363_),
+ sky130_fd_sc_hd__o2bb2ai_1 _09204_ (.A1_N(\u_uart_core.txd ),
+    .A2_N(_06033_),
+    .B1(_06033_),
+    .B2(_06045_),
     .Y(_02546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _09772_ (.A1(\u_usb_host.u_phy.state_q[1] ),
+ sky130_fd_sc_hd__o21ai_2 _09205_ (.A1(\u_usb_host.u_phy.state_q[1] ),
     .A2(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
-    .B1(_06304_),
-    .Y(_06364_),
+    .B1(_05990_),
+    .Y(_06046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09773_ (.A(_06364_),
-    .Y(_06365_),
+ sky130_fd_sc_hd__inv_2 _09206_ (.A(_06046_),
+    .Y(_06047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09774_ (.A(\u_usb_host.u_phy.ones_count_q[2] ),
-    .Y(_06366_),
+ sky130_fd_sc_hd__inv_2 _09207_ (.A(\u_usb_host.u_phy.ones_count_q[2] ),
+    .Y(_06048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09775_ (.A(_06328_),
-    .B(_06364_),
-    .X(_06367_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09208_ (.A(_06048_),
+    .X(_06049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _09776_ (.A1(_06329_),
-    .A2(_06365_),
-    .A3(_06366_),
+ sky130_fd_sc_hd__or2_1 _09209_ (.A(\u_usb_host.u_phy.state_q[0] ),
+    .B(_06046_),
+    .X(_06050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_1 _09210_ (.A1(\u_usb_host.u_phy.state_q[0] ),
+    .A2(_06047_),
+    .A3(_06049_),
     .B1(_00595_),
-    .B2(_06367_),
-    .X(_06368_),
+    .B2(_06050_),
+    .X(_06051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09777_ (.A(_06368_),
+ sky130_fd_sc_hd__clkinv_2 _09211_ (.A(_06051_),
     .Y(_02545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09778_ (.A(_06328_),
-    .X(_06369_),
+ sky130_fd_sc_hd__inv_2 _09212_ (.A(\u_usb_host.u_phy.ones_count_q[1] ),
+    .Y(_06052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09779_ (.A(\u_usb_host.u_phy.ones_count_q[1] ),
-    .Y(_06370_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09213_ (.A(_06052_),
+    .X(_06053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _09780_ (.A1(_06369_),
-    .A2(_06365_),
-    .A3(_06370_),
+ sky130_fd_sc_hd__o32a_1 _09214_ (.A1(\u_usb_host.u_phy.state_q[0] ),
+    .A2(_06047_),
+    .A3(_06053_),
     .B1(_00592_),
-    .B2(_06367_),
-    .X(_06371_),
+    .B2(_06050_),
+    .X(_06054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09781_ (.A(_06371_),
+ sky130_fd_sc_hd__inv_2 _09215_ (.A(_06054_),
     .Y(_02544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09782_ (.A(\u_usb_host.u_phy.ones_count_q[0] ),
-    .Y(_06372_),
+ sky130_fd_sc_hd__inv_2 _09216_ (.A(\u_usb_host.u_phy.ones_count_q[0] ),
+    .Y(_06055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_2 _09783_ (.A1(_00589_),
-    .A2(_06364_),
-    .B1(_06372_),
-    .B2(_06365_),
-    .C1(_06325_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09217_ (.A(_06055_),
+    .X(_06056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221ai_1 _09218_ (.A1(_00589_),
+    .A2(_06046_),
+    .B1(_06056_),
+    .B2(_06047_),
+    .C1(_06010_),
     .Y(_02543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09784_ (.A(\u_uart_core.tx_fifo_rd ),
-    .X(_06373_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09219_ (.A(_06031_),
+    .X(_06057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09785_ (.A(_06348_),
-    .X(_06374_),
+ sky130_fd_sc_hd__o21ai_1 _09220_ (.A1(\u_uart_core.u_txfsm.txstate[0] ),
+    .A2(\u_uart_core.u_txfsm.txstate[3] ),
+    .B1(_06029_),
+    .Y(_06058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09786_ (.A(\u_uart_core.u_txfsm.txstate[3] ),
-    .X(_06375_),
+ sky130_fd_sc_hd__clkbuf_1 _09221_ (.A(_06030_),
+    .X(_06059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _09787_ (.A1(\u_uart_core.u_txfsm.txstate[0] ),
-    .A2(_06375_),
-    .B1(_06346_),
-    .Y(_06376_),
+ sky130_fd_sc_hd__or4_2 _09222_ (.A(\u_uart_core.tx_fifo_rd_empty ),
+    .B(_06028_),
+    .C(_06023_),
+    .D(_06059_),
+    .X(_06060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09788_ (.A(_06347_),
-    .X(_06377_),
+ sky130_fd_sc_hd__inv_2 _09223_ (.A(_06060_),
+    .Y(_06061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09789_ (.A(\u_uart_core.tx_fifo_rd_empty ),
-    .B(_06345_),
-    .C(_06340_),
-    .D(_06377_),
-    .X(_06378_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09790_ (.A(_06378_),
-    .Y(_06379_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09791_ (.A(_06379_),
-    .X(_06380_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _09792_ (.A1(_06373_),
-    .A2(_06374_),
-    .A3(_06376_),
-    .B1(_06342_),
-    .B2(_06380_),
+ sky130_fd_sc_hd__a32o_1 _09224_ (.A1(\u_uart_core.tx_fifo_rd ),
+    .A2(_06057_),
+    .A3(_06058_),
+    .B1(_06025_),
+    .B2(_06061_),
     .X(_02542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09793_ (.A(_05805_),
-    .X(_06381_),
+ sky130_fd_sc_hd__a31o_1 _09225_ (.A1(net177),
+    .A2(net13),
+    .A3(net139),
+    .B1(_05315_),
+    .X(_06062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _09794_ (.A1(net121),
-    .A2(net116),
-    .A3(net91),
-    .B1(_05549_),
-    .X(_06382_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _09795_ (.A1(_06381_),
-    .A2(_05560_),
-    .B1(_06382_),
+ sky130_fd_sc_hd__o21ai_1 _09226_ (.A1(net136),
+    .A2(_05327_),
+    .B1(_06062_),
     .Y(_02541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09796_ (.A(_06378_),
-    .X(_06383_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09227_ (.A(_06060_),
+    .X(_06063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09797_ (.A1(\u_uart_core.u_txfsm.txdata[7] ),
-    .A2(_06383_),
+ sky130_fd_sc_hd__clkbuf_2 _09228_ (.A(_06061_),
+    .X(_06064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _09229_ (.A1(\u_uart_core.u_txfsm.txdata[7] ),
+    .A2(_06063_),
     .B1(\u_uart_core.tx_fifo_rd_data[7] ),
-    .B2(_06380_),
+    .B2(_06064_),
     .X(_02540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09798_ (.A1(\u_uart_core.u_txfsm.txdata[6] ),
-    .A2(_06383_),
+ sky130_fd_sc_hd__a22o_1 _09230_ (.A1(\u_uart_core.u_txfsm.txdata[6] ),
+    .A2(_06063_),
     .B1(\u_uart_core.tx_fifo_rd_data[6] ),
-    .B2(_06380_),
+    .B2(_06064_),
     .X(_02539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09799_ (.A(_06379_),
-    .X(_06384_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09800_ (.A1(\u_uart_core.u_txfsm.txdata[5] ),
-    .A2(_06383_),
+ sky130_fd_sc_hd__a22o_1 _09231_ (.A1(\u_uart_core.u_txfsm.txdata[5] ),
+    .A2(_06063_),
     .B1(\u_uart_core.tx_fifo_rd_data[5] ),
-    .B2(_06384_),
+    .B2(_06064_),
     .X(_02538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09801_ (.A1(\u_uart_core.u_txfsm.txdata[4] ),
-    .A2(_06383_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09232_ (.A(_06061_),
+    .X(_06065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _09233_ (.A1(\u_uart_core.u_txfsm.txdata[4] ),
+    .A2(_06063_),
     .B1(\u_uart_core.tx_fifo_rd_data[4] ),
-    .B2(_06384_),
+    .B2(_06065_),
     .X(_02537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09802_ (.A(_06378_),
-    .X(_06385_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09234_ (.A(_06060_),
+    .X(_06066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09803_ (.A1(\u_uart_core.u_txfsm.txdata[3] ),
-    .A2(_06385_),
+ sky130_fd_sc_hd__a22o_1 _09235_ (.A1(\u_uart_core.u_txfsm.txdata[3] ),
+    .A2(_06066_),
     .B1(\u_uart_core.tx_fifo_rd_data[3] ),
-    .B2(_06384_),
+    .B2(_06065_),
     .X(_02536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09804_ (.A1(\u_uart_core.u_txfsm.txdata[2] ),
-    .A2(_06385_),
+ sky130_fd_sc_hd__a22o_1 _09236_ (.A1(\u_uart_core.u_txfsm.txdata[2] ),
+    .A2(_06066_),
     .B1(\u_uart_core.tx_fifo_rd_data[2] ),
-    .B2(_06384_),
+    .B2(_06065_),
     .X(_02535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09805_ (.A1(\u_uart_core.u_txfsm.txdata[1] ),
-    .A2(_06385_),
+ sky130_fd_sc_hd__a22o_1 _09237_ (.A1(\u_uart_core.u_txfsm.txdata[1] ),
+    .A2(_06066_),
     .B1(\u_uart_core.tx_fifo_rd_data[1] ),
-    .B2(_06379_),
+    .B2(_06065_),
     .X(_02534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09806_ (.A1(\u_uart_core.u_txfsm.txdata[0] ),
-    .A2(_06385_),
+ sky130_fd_sc_hd__a22o_1 _09238_ (.A1(\u_uart_core.u_txfsm.txdata[0] ),
+    .A2(_06066_),
     .B1(\u_uart_core.tx_fifo_rd_data[0] ),
-    .B2(_06379_),
+    .B2(_06061_),
     .X(_02533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09807_ (.A(_05768_),
-    .B(_05769_),
+ sky130_fd_sc_hd__or2_1 _09239_ (.A(_05501_),
+    .B(_05502_),
+    .X(_06067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09240_ (.A(_06067_),
     .X(_00211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09808_ (.A(net9),
-    .B(_05617_),
+ sky130_fd_sc_hd__or4_1 _09241_ (.A(net9),
+    .B(_05378_),
     .C(\u_uart_core.app_tx_fifo_full ),
-    .D(_05807_),
-    .X(_06386_),
+    .D(_05539_),
+    .X(_06068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09809_ (.A(net11),
-    .B(_05608_),
-    .C(net117),
-    .D(_06386_),
-    .X(_06387_),
+ sky130_fd_sc_hd__or4_2 _09242_ (.A(net11),
+    .B(_05369_),
+    .C(net175),
+    .D(_06068_),
+    .X(_06069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09810_ (.A(_06387_),
-    .X(_06388_),
+ sky130_fd_sc_hd__clkbuf_1 _09243_ (.A(_06069_),
+    .X(_06070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09811_ (.A(_06388_),
-    .X(_06389_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09244_ (.A(_06070_),
+    .X(_06071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09812_ (.A(_06389_),
-    .Y(_06390_),
+ sky130_fd_sc_hd__inv_2 _09245_ (.A(_06071_),
+    .Y(_06072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09813_ (.A(_06390_),
-    .X(_06391_),
+ sky130_fd_sc_hd__clkbuf_2 _09246_ (.A(_06072_),
+    .X(_06073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09814_ (.A(_06391_),
+ sky130_fd_sc_hd__clkbuf_2 _09247_ (.A(_06073_),
     .X(\u_uart_core.tx_fifo_wr_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09815_ (.A0(\u_uart_core.app_tx_fifo_full ),
-    .A1(_06391_),
+ sky130_fd_sc_hd__mux2_1 _09248_ (.A0(\u_uart_core.app_tx_fifo_full ),
+    .A1(_06073_),
     .S(_00030_),
+    .X(_06074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09249_ (.A(_06074_),
     .X(_02532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09816_ (.A0(\u_uart_core.tx_fifo_rd_empty ),
+ sky130_fd_sc_hd__mux2_1 _09250_ (.A0(\u_uart_core.tx_fifo_rd_empty ),
     .A1(\u_uart_core.tx_fifo_rd ),
     .S(_00031_),
+    .X(_06075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09251_ (.A(_06075_),
     .X(_02531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09817_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
-    .Y(_06392_),
+ sky130_fd_sc_hd__inv_2 _09252_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
+    .Y(_06076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09818_ (.A(\u_usb_host.u_phy.bit_count_q[0] ),
-    .Y(_06393_),
+ sky130_fd_sc_hd__inv_2 _09253_ (.A(\u_usb_host.u_phy.bit_count_q[0] ),
+    .Y(_06077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09819_ (.A(\u_usb_host.u_phy.state_q[13] ),
+ sky130_fd_sc_hd__nor2_1 _09254_ (.A(\u_usb_host.u_phy.state_q[13] ),
     .B(\u_usb_host.u_phy.state_q[4] ),
-    .Y(_06394_),
+    .Y(_06078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _09820_ (.A(_06370_),
+ sky130_fd_sc_hd__or3_2 _09255_ (.A(_06052_),
     .B(\u_usb_host.u_phy.ones_count_q[0] ),
-    .C(_06366_),
-    .X(_06395_),
+    .C(_06048_),
+    .X(_06079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09821_ (.A(_06395_),
-    .Y(_06396_),
+ sky130_fd_sc_hd__inv_2 _09256_ (.A(_06079_),
+    .Y(_06080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09822_ (.A1(_06303_),
-    .A2(_06394_),
-    .B1(_06364_),
-    .B2(_06396_),
-    .C1(_06313_),
-    .X(_06397_),
+ sky130_fd_sc_hd__o221a_1 _09257_ (.A1(_05989_),
+    .A2(_06078_),
+    .B1(_06046_),
+    .B2(_06080_),
+    .C1(_05998_),
+    .X(_06081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09823_ (.A(_06393_),
-    .B(_06397_),
-    .X(_06398_),
+ sky130_fd_sc_hd__or2_1 _09258_ (.A(_06077_),
+    .B(_06081_),
+    .X(_06082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09824_ (.A(_06392_),
-    .B(_06398_),
-    .X(_06399_),
+ sky130_fd_sc_hd__or2_1 _09259_ (.A(_06076_),
+    .B(_06082_),
+    .X(_06083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09825_ (.A(_06399_),
-    .Y(_06400_),
+ sky130_fd_sc_hd__inv_2 _09260_ (.A(_06083_),
+    .Y(_06084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09826_ (.A(\u_usb_host.u_phy.bit_count_q[2] ),
-    .Y(_06401_),
+ sky130_fd_sc_hd__inv_2 _09261_ (.A(\u_usb_host.u_phy.bit_count_q[2] ),
+    .Y(_06085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09827_ (.A1(\u_usb_host.u_phy.bit_count_q[2] ),
-    .A2(_06400_),
-    .B1(_06401_),
-    .B2(_06399_),
-    .C1(_06314_),
+ sky130_fd_sc_hd__o221a_1 _09262_ (.A1(\u_usb_host.u_phy.bit_count_q[2] ),
+    .A2(_06084_),
+    .B1(_06085_),
+    .B2(_06083_),
+    .C1(_05999_),
     .X(_02530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09828_ (.A(_06398_),
-    .Y(_06402_),
+ sky130_fd_sc_hd__inv_2 _09263_ (.A(_06082_),
+    .Y(_06086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _09829_ (.A1(\u_usb_host.u_phy.bit_count_q[1] ),
-    .A2(_06402_),
-    .B1(_06314_),
-    .C1(_06399_),
+ sky130_fd_sc_hd__o211a_1 _09264_ (.A1(\u_usb_host.u_phy.bit_count_q[1] ),
+    .A2(_06086_),
+    .B1(_05999_),
+    .C1(_06083_),
     .X(_02529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09830_ (.A(_06397_),
-    .Y(_06403_),
+ sky130_fd_sc_hd__inv_2 _09265_ (.A(_06081_),
+    .Y(_06087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _09831_ (.A1(\u_usb_host.u_phy.bit_count_q[0] ),
-    .A2(_06403_),
-    .B1(_06314_),
-    .C1(_06398_),
+ sky130_fd_sc_hd__o211a_1 _09266_ (.A1(\u_usb_host.u_phy.bit_count_q[0] ),
+    .A2(_06087_),
+    .B1(_05999_),
+    .C1(_06082_),
     .X(_02528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09832_ (.A(\u_uart_core.u_txfsm.cnt[2] ),
-    .Y(_06404_),
+ sky130_fd_sc_hd__inv_2 _09267_ (.A(\u_uart_core.u_txfsm.cnt[2] ),
+    .Y(_06088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _09833_ (.A1(_06340_),
-    .A2(_06341_),
-    .B1(_06349_),
-    .Y(_06405_),
+ sky130_fd_sc_hd__a21oi_1 _09268_ (.A1(_06023_),
+    .A2(_06024_),
+    .B1(_06032_),
+    .Y(_06089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09834_ (.A(\u_uart_core.u_txfsm.cnt[0] ),
-    .B(_06405_),
-    .Y(_06406_),
+ sky130_fd_sc_hd__nand2_1 _09269_ (.A(\u_uart_core.u_txfsm.cnt[0] ),
+    .B(_06089_),
+    .Y(_06090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09835_ (.A(_06406_),
-    .Y(_06407_),
+ sky130_fd_sc_hd__inv_2 _09270_ (.A(_06090_),
+    .Y(_06091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09836_ (.A(\u_uart_core.u_txfsm.cnt[1] ),
-    .B(_06407_),
-    .Y(_06408_),
+ sky130_fd_sc_hd__nand2_1 _09271_ (.A(\u_uart_core.u_txfsm.cnt[1] ),
+    .B(_06091_),
+    .Y(_06092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09837_ (.A(_06408_),
-    .Y(_06409_),
+ sky130_fd_sc_hd__inv_2 _09272_ (.A(_06092_),
+    .Y(_06093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09838_ (.A(_06375_),
-    .B(_06378_),
-    .X(_06410_),
+ sky130_fd_sc_hd__or2_1 _09273_ (.A(\u_uart_core.u_txfsm.txstate[3] ),
+    .B(_06060_),
+    .X(_06094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _09839_ (.A1(_06404_),
-    .A2(_06408_),
+ sky130_fd_sc_hd__o221a_1 _09274_ (.A1(_06088_),
+    .A2(_06092_),
     .B1(\u_uart_core.u_txfsm.cnt[2] ),
-    .B2(_06409_),
-    .C1(_06410_),
+    .B2(_06093_),
+    .C1(_06094_),
     .X(_02527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _09840_ (.A1(\u_uart_core.u_txfsm.cnt[1] ),
-    .A2(_06407_),
-    .B1(_06410_),
-    .C1(_06408_),
+ sky130_fd_sc_hd__o211a_1 _09275_ (.A1(\u_uart_core.u_txfsm.cnt[1] ),
+    .A2(_06091_),
+    .B1(_06094_),
+    .C1(_06092_),
     .X(_02526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _09841_ (.A1(\u_uart_core.u_txfsm.cnt[0] ),
-    .A2(_06405_),
-    .B1(_06410_),
-    .C1(_06406_),
+ sky130_fd_sc_hd__o211a_1 _09276_ (.A1(\u_uart_core.u_txfsm.cnt[0] ),
+    .A2(_06089_),
+    .B1(_06094_),
+    .C1(_06090_),
     .X(_02525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09842_ (.A(\u_usb_host.u_core.u_sie.data_ready_w ),
-    .Y(_06411_),
+ sky130_fd_sc_hd__inv_2 _09277_ (.A(\u_usb_host.u_core.u_sie.data_ready_w ),
+    .Y(_06095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09843_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
-    .X(_06412_),
+ sky130_fd_sc_hd__clkbuf_1 _09278_ (.A(_06095_),
+    .X(_06096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09844_ (.A(_05953_),
-    .X(_06413_),
+ sky130_fd_sc_hd__inv_2 _09279_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .Y(_06097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09845_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
-    .Y(_06414_),
+ sky130_fd_sc_hd__clkbuf_1 _09280_ (.A(_06097_),
+    .X(_06098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09846_ (.A(_06414_),
-    .X(_06415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09847_ (.A(_06412_),
-    .B(_06413_),
-    .C(_06415_),
-    .D(_05954_),
-    .X(_06416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09848_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
-    .Y(_06417_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09849_ (.A(_06417_),
-    .X(_06418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09850_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
-    .Y(_06419_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09851_ (.A(_06414_),
-    .B(_06418_),
-    .C(_06419_),
-    .D(_05953_),
-    .X(_06420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09852_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .Y(_06421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09853_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+ sky130_fd_sc_hd__or4_2 _09281_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
     .B(\u_usb_host.u_core.u_sie.state_q[2] ),
-    .C(_06421_),
-    .D(_06417_),
-    .X(_06422_),
+    .C(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .D(_06098_),
+    .X(_06099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09854_ (.A(_06422_),
-    .X(_06423_),
+ sky130_fd_sc_hd__inv_2 _09282_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .Y(_06100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09855_ (.A(_06423_),
-    .X(_06424_),
+ sky130_fd_sc_hd__inv_2 _09283_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .Y(_06101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09856_ (.A(_06424_),
-    .X(_06425_),
+ sky130_fd_sc_hd__clkbuf_1 _09284_ (.A(_06101_),
+    .X(_06102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09857_ (.A(_06425_),
-    .X(_06426_),
+ sky130_fd_sc_hd__or4_2 _09285_ (.A(_06100_),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .C(_06102_),
+    .D(_06097_),
+    .X(_06103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09858_ (.A(_06426_),
-    .X(_06427_),
+ sky130_fd_sc_hd__inv_2 _09286_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .Y(_06104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o311a_1 _09859_ (.A1(_06411_),
-    .A2(_06416_),
-    .A3(\u_usb_host.u_core.u_sie.crc_byte_w ),
-    .B1(_06420_),
-    .C1(_06427_),
-    .X(_06428_),
+ sky130_fd_sc_hd__or4_1 _09287_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .C(_06104_),
+    .D(_06101_),
+    .X(_06105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09860_ (.A(_06422_),
-    .Y(_06429_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09288_ (.A(_06105_),
+    .X(_06106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09861_ (.A(_06429_),
-    .X(_06430_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09289_ (.A(_06106_),
+    .X(_06107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09862_ (.A(_06430_),
-    .X(_06431_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09290_ (.A(_06107_),
+    .X(_06108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09863_ (.A(_06431_),
-    .X(_06432_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09291_ (.A(_06108_),
+    .X(_06109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09864_ (.A(_06432_),
-    .X(_06433_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09292_ (.A(_06109_),
+    .X(_06110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09865_ (.A(\u_usb_host.u_core.status_rx_count_w[15] ),
+ sky130_fd_sc_hd__clkbuf_2 _09293_ (.A(_06110_),
+    .X(_06111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o311a_1 _09294_ (.A1(\u_usb_host.u_core.u_sie.crc_byte_w ),
+    .A2(_06096_),
+    .A3(_06099_),
+    .B1(_06103_),
+    .C1(_06111_),
+    .X(_06112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09295_ (.A(_06105_),
+    .Y(_06113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09296_ (.A(_06113_),
+    .X(_06114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09297_ (.A(_06114_),
+    .X(_06115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09298_ (.A(_06115_),
+    .X(_06116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09299_ (.A(_06116_),
+    .X(_06117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_1 _09300_ (.A(\u_usb_host.u_core.status_rx_count_w[15] ),
     .B(\u_usb_host.u_core.status_rx_count_w[14] ),
     .C(\u_usb_host.u_core.status_rx_count_w[1] ),
     .D(\u_usb_host.u_core.status_rx_count_w[0] ),
-    .X(_06434_),
+    .X(_06118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09866_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
+ sky130_fd_sc_hd__nor2_1 _09301_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
     .B(\u_usb_host.u_core.status_rx_count_w[2] ),
-    .Y(_06435_),
+    .Y(_06119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09867_ (.A(\u_usb_host.u_core.status_rx_count_w[13] ),
+ sky130_fd_sc_hd__nor2_1 _09302_ (.A(\u_usb_host.u_core.status_rx_count_w[13] ),
     .B(\u_usb_host.u_core.status_rx_count_w[12] ),
-    .Y(_06436_),
+    .Y(_06120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09868_ (.A(_06435_),
-    .B(_06436_),
-    .Y(_06437_),
+ sky130_fd_sc_hd__nand2_1 _09303_ (.A(_06119_),
+    .B(_06120_),
+    .Y(_06121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09869_ (.A(\u_usb_host.u_core.status_rx_count_w[5] ),
-    .B(\u_usb_host.u_core.status_rx_count_w[4] ),
-    .Y(_06438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _09870_ (.A(_06123_),
-    .B(_06138_),
-    .C(_06438_),
-    .X(_06439_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09871_ (.A(\u_usb_host.u_core.status_rx_count_w[9] ),
+ sky130_fd_sc_hd__nor2_1 _09304_ (.A(\u_usb_host.u_core.status_rx_count_w[9] ),
     .B(\u_usb_host.u_core.status_rx_count_w[8] ),
-    .Y(_06440_),
+    .Y(_06122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _09872_ (.A(_06103_),
-    .B(_06108_),
-    .C(_06440_),
-    .X(_06441_),
+ sky130_fd_sc_hd__and3_1 _09305_ (.A(_05801_),
+    .B(_05806_),
+    .C(_06122_),
+    .X(_06123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4bb_2 _09873_ (.A(_06434_),
-    .B(_06437_),
-    .C_N(_06439_),
-    .D_N(_06441_),
-    .X(_06442_),
+ sky130_fd_sc_hd__nor2_1 _09306_ (.A(\u_usb_host.u_core.status_rx_count_w[5] ),
+    .B(\u_usb_host.u_core.status_rx_count_w[4] ),
+    .Y(_06124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09874_ (.A(_06442_),
-    .Y(_00186_),
+ sky130_fd_sc_hd__and3_1 _09307_ (.A(_05821_),
+    .B(_05836_),
+    .C(_06124_),
+    .X(_06125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09875_ (.A1(_05962_),
-    .A2(_06428_),
-    .B1(_06433_),
-    .B2(_00186_),
-    .X(_06443_),
+ sky130_fd_sc_hd__or4bb_2 _09308_ (.A(_06118_),
+    .B(_06121_),
+    .C_N(_06123_),
+    .D_N(_06125_),
+    .X(_06126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09876_ (.A(_06443_),
-    .Y(_06444_),
+ sky130_fd_sc_hd__clkinv_2 _09309_ (.A(_06126_),
+    .Y(_00193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09877_ (.A(_06444_),
-    .X(_06445_),
+ sky130_fd_sc_hd__a22o_1 _09310_ (.A1(_05663_),
+    .A2(_06112_),
+    .B1(_06117_),
+    .B2(_00193_),
+    .X(_06127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09878_ (.A(_06443_),
-    .X(_06446_),
+ sky130_fd_sc_hd__inv_2 _09311_ (.A(_06127_),
+    .Y(_06128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09879_ (.A(_06446_),
-    .X(_06447_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09312_ (.A(_06128_),
+    .X(_06129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09880_ (.A1(\u_usb_host.u_core.status_rx_count_w[15] ),
-    .A2(_06445_),
+ sky130_fd_sc_hd__clkbuf_2 _09313_ (.A(_06127_),
+    .X(_06130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09314_ (.A(_06130_),
+    .X(_06131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _09315_ (.A1(\u_usb_host.u_core.status_rx_count_w[15] ),
+    .A2(_06129_),
     .B1(_00155_),
-    .B2(_06447_),
+    .B2(_06131_),
     .X(_02524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09881_ (.A1(\u_usb_host.u_core.status_rx_count_w[14] ),
-    .A2(_06445_),
+ sky130_fd_sc_hd__o22a_1 _09316_ (.A1(\u_usb_host.u_core.status_rx_count_w[14] ),
+    .A2(_06129_),
     .B1(_00154_),
-    .B2(_06447_),
+    .B2(_06131_),
     .X(_02523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09882_ (.A1(_06092_),
-    .A2(_06445_),
+ sky130_fd_sc_hd__o22a_1 _09317_ (.A1(\u_usb_host.u_core.status_rx_count_w[13] ),
+    .A2(_06129_),
     .B1(_00153_),
-    .B2(_06447_),
+    .B2(_06131_),
     .X(_02522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09883_ (.A1(\u_usb_host.u_core.status_rx_count_w[12] ),
-    .A2(_06445_),
+ sky130_fd_sc_hd__o22a_1 _09318_ (.A1(\u_usb_host.u_core.status_rx_count_w[12] ),
+    .A2(_06129_),
     .B1(_00152_),
-    .B2(_06447_),
+    .B2(_06131_),
     .X(_02521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09884_ (.A(_06444_),
-    .X(_06448_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09319_ (.A(_06128_),
+    .X(_06132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09885_ (.A(_06446_),
-    .X(_06449_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09320_ (.A(_06130_),
+    .X(_06133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09886_ (.A1(\u_usb_host.u_core.status_rx_count_w[11] ),
-    .A2(_06448_),
+ sky130_fd_sc_hd__o22a_1 _09321_ (.A1(\u_usb_host.u_core.status_rx_count_w[11] ),
+    .A2(_06132_),
     .B1(_00151_),
-    .B2(_06449_),
+    .B2(_06133_),
     .X(_02520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09887_ (.A1(\u_usb_host.u_core.status_rx_count_w[10] ),
-    .A2(_06448_),
+ sky130_fd_sc_hd__o22a_1 _09322_ (.A1(\u_usb_host.u_core.status_rx_count_w[10] ),
+    .A2(_06132_),
     .B1(_00150_),
-    .B2(_06449_),
+    .B2(_06133_),
     .X(_02519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09888_ (.A1(\u_usb_host.u_core.status_rx_count_w[9] ),
-    .A2(_06448_),
+ sky130_fd_sc_hd__o22a_1 _09323_ (.A1(\u_usb_host.u_core.status_rx_count_w[9] ),
+    .A2(_06132_),
     .B1(_00164_),
-    .B2(_06449_),
+    .B2(_06133_),
     .X(_02518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09889_ (.A1(\u_usb_host.u_core.status_rx_count_w[8] ),
-    .A2(_06448_),
+ sky130_fd_sc_hd__o22a_1 _09324_ (.A1(\u_usb_host.u_core.status_rx_count_w[8] ),
+    .A2(_06132_),
     .B1(_00163_),
-    .B2(_06449_),
+    .B2(_06133_),
     .X(_02517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09890_ (.A(_06444_),
-    .X(_06450_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09325_ (.A(_06128_),
+    .X(_06134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09891_ (.A(_06446_),
-    .X(_06451_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09326_ (.A(_06130_),
+    .X(_06135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09892_ (.A1(\u_usb_host.u_core.status_rx_count_w[7] ),
-    .A2(_06450_),
+ sky130_fd_sc_hd__o22a_1 _09327_ (.A1(\u_usb_host.u_core.status_rx_count_w[7] ),
+    .A2(_06134_),
     .B1(_00162_),
-    .B2(_06451_),
+    .B2(_06135_),
     .X(_02516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09893_ (.A1(\u_usb_host.u_core.status_rx_count_w[6] ),
-    .A2(_06450_),
+ sky130_fd_sc_hd__o22a_1 _09328_ (.A1(\u_usb_host.u_core.status_rx_count_w[6] ),
+    .A2(_06134_),
     .B1(_00161_),
-    .B2(_06451_),
+    .B2(_06135_),
     .X(_02515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09894_ (.A1(\u_usb_host.u_core.status_rx_count_w[5] ),
-    .A2(_06450_),
+ sky130_fd_sc_hd__o22a_1 _09329_ (.A1(\u_usb_host.u_core.status_rx_count_w[5] ),
+    .A2(_06134_),
     .B1(_00160_),
-    .B2(_06451_),
+    .B2(_06135_),
     .X(_02514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09895_ (.A1(\u_usb_host.u_core.status_rx_count_w[4] ),
-    .A2(_06450_),
+ sky130_fd_sc_hd__o22a_1 _09330_ (.A1(\u_usb_host.u_core.status_rx_count_w[4] ),
+    .A2(_06134_),
     .B1(_00159_),
-    .B2(_06451_),
+    .B2(_06135_),
     .X(_02513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09896_ (.A(_06444_),
-    .X(_06452_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09331_ (.A(_06128_),
+    .X(_06136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09897_ (.A(_06446_),
-    .X(_06453_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09332_ (.A(_06130_),
+    .X(_06137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09898_ (.A1(_06161_),
-    .A2(_06452_),
+ sky130_fd_sc_hd__o22a_1 _09333_ (.A1(\u_usb_host.u_core.status_rx_count_w[3] ),
+    .A2(_06136_),
     .B1(_00158_),
-    .B2(_06453_),
+    .B2(_06137_),
     .X(_02512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09899_ (.A1(\u_usb_host.u_core.status_rx_count_w[2] ),
-    .A2(_06452_),
+ sky130_fd_sc_hd__o22a_1 _09334_ (.A1(\u_usb_host.u_core.status_rx_count_w[2] ),
+    .A2(_06136_),
     .B1(_00157_),
-    .B2(_06453_),
+    .B2(_06137_),
     .X(_02511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09900_ (.A1(\u_usb_host.u_core.status_rx_count_w[1] ),
-    .A2(_06452_),
+ sky130_fd_sc_hd__o22a_1 _09335_ (.A1(\u_usb_host.u_core.status_rx_count_w[1] ),
+    .A2(_06136_),
     .B1(_00156_),
-    .B2(_06453_),
+    .B2(_06137_),
     .X(_02510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09901_ (.A1(_06192_),
-    .A2(_06452_),
+ sky130_fd_sc_hd__o22a_1 _09336_ (.A1(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .A2(_06136_),
     .B1(_00149_),
-    .B2(_06453_),
+    .B2(_06137_),
     .X(_02509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_8 _09902_ (.A(_05962_),
-    .Y(_00276_),
+ sky130_fd_sc_hd__clkbuf_1 _09337_ (.A(_06103_),
+    .X(_06138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09903_ (.A(_06412_),
-    .X(_06454_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09338_ (.A(_06138_),
+    .X(_06139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09904_ (.A(_06454_),
-    .X(_06455_),
+ sky130_fd_sc_hd__inv_2 _09339_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
+    .Y(_06140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09905_ (.A(_06455_),
-    .X(_06456_),
+ sky130_fd_sc_hd__inv_2 _09340_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
+    .Y(_06141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09906_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
-    .Y(_06457_),
+ sky130_fd_sc_hd__inv_2 _09341_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
+    .Y(_06142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09907_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
-    .Y(_06458_),
+ sky130_fd_sc_hd__inv_2 _09342_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
+    .Y(_06143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09908_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
-    .Y(_06459_),
+ sky130_fd_sc_hd__or3_1 _09343_ (.A(_06141_),
+    .B(_06142_),
+    .C(_06143_),
+    .X(_06144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09909_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
-    .Y(_06460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09910_ (.A(_06458_),
-    .B(_06459_),
-    .C(_06460_),
-    .X(_06461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _09911_ (.A(_06461_),
+ sky130_fd_sc_hd__or2b_1 _09344_ (.A(_06144_),
     .B_N(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
-    .X(_06462_),
+    .X(_06145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09912_ (.A(_06457_),
-    .B(_06462_),
-    .X(_06463_),
+ sky130_fd_sc_hd__or2_1 _09345_ (.A(_06140_),
+    .B(_06145_),
+    .X(_06146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09913_ (.A(_06463_),
-    .Y(_06464_),
+ sky130_fd_sc_hd__inv_2 _09346_ (.A(_06146_),
+    .Y(_06147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09914_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
-    .B(_06464_),
-    .Y(_06465_),
+ sky130_fd_sc_hd__nand2_1 _09347_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
+    .B(_06147_),
+    .Y(_06148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09915_ (.A(_06465_),
-    .Y(_06466_),
+ sky130_fd_sc_hd__inv_2 _09348_ (.A(_06148_),
+    .Y(_06149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09916_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
-    .B(_06466_),
-    .Y(_06467_),
+ sky130_fd_sc_hd__nand2_1 _09349_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
+    .B(_06149_),
+    .Y(_06150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09917_ (.A(_06467_),
-    .Y(_06468_),
+ sky130_fd_sc_hd__inv_2 _09350_ (.A(_06150_),
+    .Y(_06151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_2 _09918_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
-    .B(_06468_),
-    .Y(_06469_),
+ sky130_fd_sc_hd__nand2_1 _09351_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
+    .B(_06151_),
+    .Y(_06152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09919_ (.A(_06469_),
-    .Y(_06470_),
+ sky130_fd_sc_hd__inv_2 _09352_ (.A(_06152_),
+    .Y(_06153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _09920_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
-    .A2(_06470_),
+ sky130_fd_sc_hd__o21ai_4 _09353_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
+    .A2(_06153_),
     .B1(\u_usb_host.u_core.u_sie.wait_resp_q ),
-    .Y(_06471_),
+    .Y(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _09921_ (.A(_06456_),
-    .B(_06471_),
-    .Y(_06472_),
+ sky130_fd_sc_hd__nor2_1 _09354_ (.A(_06139_),
+    .B(_06154_),
+    .Y(_06155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09922_ (.A(_06420_),
-    .Y(_06473_),
+ sky130_fd_sc_hd__o21a_1 _09355_ (.A1(\u_usb_host.u_core.status_timeout_w ),
+    .A2(_06155_),
+    .B1(_05664_),
+    .X(_02508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09923_ (.A(_06473_),
-    .X(_06474_),
+ sky130_fd_sc_hd__inv_2 _09356_ (.A(_06103_),
+    .Y(_06156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _09924_ (.A1(_06472_),
-    .A2(_06474_),
-    .B1(\u_usb_host.u_core.status_timeout_w ),
-    .Y(_06475_),
+ sky130_fd_sc_hd__clkbuf_2 _09357_ (.A(_06156_),
+    .X(_06157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _09925_ (.A(_00276_),
-    .B(_06475_),
-    .Y(_02508_),
+ sky130_fd_sc_hd__inv_2 _09358_ (.A(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .Y(_06158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09926_ (.A(_05954_),
-    .X(_06476_),
+ sky130_fd_sc_hd__or4_1 _09359_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .B(_06097_),
+    .C(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .D(_06158_),
+    .X(_06159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09927_ (.A(_06413_),
-    .Y(_06477_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09360_ (.A(_06159_),
+    .X(_06160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09928_ (.A(_06477_),
-    .X(_06478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09929_ (.A(_06415_),
-    .B(_06476_),
-    .C(_06454_),
-    .D(_06478_),
-    .X(_06479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09930_ (.A(_06479_),
-    .X(_06480_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09931_ (.A(_06480_),
+ sky130_fd_sc_hd__inv_2 _09361_ (.A(_06160_),
     .Y(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09932_ (.A(_06474_),
+ sky130_fd_sc_hd__or2_1 _09362_ (.A(_06157_),
     .B(_02837_),
-    .X(_06481_),
+    .X(_06161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _09933_ (.A(_05911_),
-    .X(_06482_),
+ sky130_fd_sc_hd__clkbuf_2 _09363_ (.A(_06099_),
+    .X(_06162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09934_ (.A(_06416_),
-    .X(_06483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_4 _09935_ (.A(_06483_),
-    .Y(_00173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09936_ (.A1(\u_usb_host.u_core.status_rx_done_w ),
-    .A2(_06481_),
-    .B1(_06482_),
-    .B2(_00173_),
-    .X(_02507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09937_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
-    .X(_06484_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09938_ (.A(_06484_),
-    .X(_06485_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09939_ (.A(_06418_),
-    .X(_06486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _09940_ (.A(_06486_),
-    .X(_00217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09941_ (.A(_06419_),
-    .X(_06487_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09942_ (.A(_06487_),
-    .X(_00180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09943_ (.A(\u_usb_host.u_core.u_sie.wait_resp_q ),
+ sky130_fd_sc_hd__inv_4 _09364_ (.A(_06162_),
     .Y(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09944_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_06488_),
+ sky130_fd_sc_hd__a22o_1 _09365_ (.A1(\u_usb_host.u_core.status_rx_done_w ),
+    .A2(_06161_),
+    .B1(_05631_),
+    .B2(_00184_),
+    .X(_02507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09945_ (.A(_06488_),
-    .X(_06489_),
+ sky130_fd_sc_hd__clkbuf_1 _09366_ (.A(_06102_),
+    .X(_06163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09946_ (.A(_06413_),
-    .X(_06490_),
+ sky130_fd_sc_hd__clkbuf_2 _09367_ (.A(_06163_),
+    .X(_00217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09947_ (.A(_06490_),
-    .X(_06491_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09368_ (.A(_06100_),
+    .X(_06164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _09948_ (.A1(_00184_),
-    .A2(_06489_),
-    .A3(_06491_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09369_ (.A(_06164_),
+    .X(_00206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09370_ (.A(\u_usb_host.u_core.u_sie.wait_resp_q ),
+    .Y(_00200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a31o_1 _09371_ (.A1(_00200_),
+    .A2(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .A3(\u_usb_host.u_core.u_sie.state_q[2] ),
     .B1(\u_usb_host.u_core.status_tx_done_w ),
-    .X(_06492_),
+    .X(_06165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _09949_ (.A(_06485_),
-    .B(_00217_),
-    .C(_00180_),
-    .D(_06492_),
+ sky130_fd_sc_hd__and4_1 _09372_ (.A(_00217_),
+    .B(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .C(_00206_),
+    .D(_06165_),
+    .X(_06166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09373_ (.A(_06166_),
     .X(_02506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09950_ (.A(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
-    .Y(_06493_),
+ sky130_fd_sc_hd__inv_2 _09374_ (.A(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
+    .Y(_06167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _09951_ (.A1(_06493_),
+ sky130_fd_sc_hd__o21ai_1 _09375_ (.A1(_06167_),
     .A2(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
-    .B1(_05910_),
+    .B1(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .Y(_02505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09952_ (.A1(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
-    .A2(_05945_),
+ sky130_fd_sc_hd__a22o_1 _09376_ (.A1(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
+    .A2(_05655_),
     .B1(\u_usb_host.u_core.u_sie.crc_byte_w ),
-    .B2(_05915_),
+    .B2(_05637_),
     .X(_02504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09953_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
-    .X(_06494_),
+ sky130_fd_sc_hd__inv_2 _09377_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
+    .Y(_06168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09954_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .Y(_06495_),
+ sky130_fd_sc_hd__or4_1 _09378_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
+    .B(_06168_),
+    .C(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .D(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .X(_06169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09955_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
-    .X(_06496_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09956_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
-    .X(_06497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09957_ (.A(_06494_),
-    .B(_06495_),
-    .C(_06496_),
-    .D(_06497_),
-    .X(_06498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09958_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
-    .X(_06499_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09959_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
-    .X(_06500_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09960_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+ sky130_fd_sc_hd__or4_1 _09379_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
     .B(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .C(_06499_),
-    .D(_06500_),
-    .X(_06501_),
+    .C(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .D(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .X(_06170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09961_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
+ sky130_fd_sc_hd__or4_1 _09380_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
     .B(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
     .C(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
     .D(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
-    .X(_06502_),
+    .X(_06171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09962_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
-    .Y(_06503_),
+ sky130_fd_sc_hd__inv_2 _09381_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
+    .Y(_06172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09963_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
+ sky130_fd_sc_hd__inv_2 _09382_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
     .Y(_00544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4b_1 _09964_ (.A(_06503_),
+ sky130_fd_sc_hd__or4b_1 _09383_ (.A(_06172_),
     .B(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
     .C(_00544_),
     .D_N(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
-    .X(_06504_),
+    .X(_06173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09965_ (.A(\u_usb_host.u_core.status_response_w[4] ),
+ sky130_fd_sc_hd__inv_2 _09384_ (.A(\u_usb_host.u_core.u_sie.in_transfer_q ),
+    .Y(_06174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_1 _09385_ (.A(\u_usb_host.u_core.status_response_w[4] ),
     .B(\u_usb_host.u_core.status_response_w[2] ),
-    .C(_06064_),
-    .D(_06071_),
-    .X(_06505_),
+    .C(_05763_),
+    .D(_05770_),
+    .X(_06175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09966_ (.A1(_06026_),
+ sky130_fd_sc_hd__o22a_1 _09386_ (.A1(_05725_),
     .A2(\u_usb_host.u_core.status_response_w[3] ),
     .B1(\u_usb_host.u_core.status_response_w[7] ),
-    .B2(_06052_),
-    .X(_06506_),
+    .B2(_05751_),
+    .X(_06176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09967_ (.A(_06034_),
+ sky130_fd_sc_hd__or4_2 _09387_ (.A(_05733_),
     .B(\u_usb_host.u_core.status_response_w[5] ),
-    .C(_06505_),
-    .D(_06506_),
-    .X(_06507_),
+    .C(_06175_),
+    .D(_06176_),
+    .X(_06177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09968_ (.A(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
-    .Y(_06508_),
+ sky130_fd_sc_hd__nor4_2 _09388_ (.A(_06174_),
+    .B(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
+    .C(_06162_),
+    .D(_06177_),
+    .Y(_06178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4b_1 _09969_ (.A_N(_06507_),
-    .B(_06508_),
-    .C(_00173_),
-    .D(\u_usb_host.u_core.u_sie.in_transfer_q ),
-    .X(_06509_),
+ sky130_fd_sc_hd__o41a_2 _09389_ (.A1(_06169_),
+    .A2(_06170_),
+    .A3(_06171_),
+    .A4(_06173_),
+    .B1(_06178_),
+    .X(_06179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o41a_2 _09970_ (.A1(_06498_),
-    .A2(_06501_),
-    .A3(_06502_),
-    .A4(_06504_),
-    .B1(_06509_),
-    .X(_06510_),
+ sky130_fd_sc_hd__clkbuf_2 _09390_ (.A(_05656_),
+    .X(_06180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09971_ (.A(_05954_),
-    .B(_06490_),
-    .X(_06511_),
+ sky130_fd_sc_hd__clkbuf_2 _09391_ (.A(_06098_),
+    .X(_00199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09972_ (.A(\u_usb_host.u_core.sof_transfer_q ),
-    .X(_06512_),
+ sky130_fd_sc_hd__or2_1 _09392_ (.A(\u_usb_host.u_core.u_sie.data_ready_w ),
+    .B(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
+    .X(_06181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09973_ (.A(_05952_),
-    .X(_06513_),
+ sky130_fd_sc_hd__o32a_1 _09393_ (.A1(\u_usb_host.u_core.sof_transfer_q ),
+    .A2(_06180_),
+    .A3(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .B1(_00199_),
+    .B2(_06181_),
+    .X(_06182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09974_ (.A1(_06512_),
-    .A2(_06513_),
-    .B1(_06333_),
-    .X(_06514_),
+ sky130_fd_sc_hd__or4_1 _09394_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .C(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .D(_06182_),
+    .X(_06183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _09975_ (.A1(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
-    .A2(\u_usb_host.u_core.u_sie.data_ready_w ),
-    .B1(_00173_),
-    .X(_06515_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _09976_ (.A(_06456_),
-    .B(_06511_),
-    .C(_06514_),
-    .D(_06515_),
-    .X(_06516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _09977_ (.A0(_06510_),
+ sky130_fd_sc_hd__mux2_1 _09395_ (.A0(_06179_),
     .A1(\u_usb_host.u_core.status_crc_err_w ),
-    .S(_06516_),
+    .S(_06183_),
+    .X(_06184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09396_ (.A(_06184_),
     .X(_02503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09978_ (.A(_06412_),
-    .B(_05953_),
-    .C(_06415_),
-    .X(_06517_),
+ sky130_fd_sc_hd__or3_2 _09397_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .C(_06097_),
+    .X(_06185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09979_ (.A(_06418_),
-    .B(_06517_),
-    .X(_06518_),
+ sky130_fd_sc_hd__or2_1 _09398_ (.A(_06102_),
+    .B(_06185_),
+    .X(_06186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09980_ (.A(_06517_),
-    .Y(_06519_),
+ sky130_fd_sc_hd__inv_2 _09399_ (.A(_06185_),
+    .Y(_06187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _09981_ (.A(_06412_),
-    .B(_06413_),
-    .C(_06484_),
-    .D(_06418_),
-    .X(_06520_),
+ sky130_fd_sc_hd__or4_2 _09400_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .C(_06102_),
+    .D(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .X(_06188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09982_ (.A(_06520_),
-    .Y(_00176_),
+ sky130_fd_sc_hd__inv_2 _09401_ (.A(_06188_),
+    .Y(_00187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _09983_ (.A(_06473_),
-    .B(_06519_),
-    .C(_00176_),
-    .X(_06521_),
+ sky130_fd_sc_hd__or3_1 _09402_ (.A(_06157_),
+    .B(_06187_),
+    .C(_00187_),
+    .X(_06189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _09984_ (.A1(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .A2(_06518_),
+ sky130_fd_sc_hd__o221a_1 _09403_ (.A1(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .A2(_06186_),
     .B1(\u_usb_host.u_core.u_sie.data_ready_w ),
-    .B2(_06416_),
-    .C1(_06521_),
-    .X(_06522_),
+    .B2(_06099_),
+    .C1(_06189_),
+    .X(_06190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _09985_ (.A(_06522_),
-    .X(_06523_),
+ sky130_fd_sc_hd__clkbuf_1 _09404_ (.A(_06190_),
+    .X(_06191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09986_ (.A(_06523_),
-    .X(_06524_),
+ sky130_fd_sc_hd__clkbuf_2 _09405_ (.A(_06191_),
+    .X(_06192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09987_ (.A(_06522_),
-    .Y(_06525_),
+ sky130_fd_sc_hd__inv_2 _09406_ (.A(_06190_),
+    .Y(_06193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _09988_ (.A(_06517_),
-    .B(_06525_),
-    .X(_06526_),
+ sky130_fd_sc_hd__or2_1 _09407_ (.A(_06185_),
+    .B(_06193_),
+    .X(_06194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09989_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
-    .Y(_06527_),
+ sky130_fd_sc_hd__inv_2 _09408_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .Y(_06195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09990_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
-    .Y(_06528_),
+ sky130_fd_sc_hd__inv_2 _09409_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .Y(_06196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09991_ (.A1(_06497_),
-    .A2(_06527_),
-    .B1(_06528_),
-    .B2(_06500_),
-    .X(_06529_),
+ sky130_fd_sc_hd__a22o_1 _09410_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .A2(_06195_),
+    .B1(_06196_),
+    .B2(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .X(_06197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09992_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
+ sky130_fd_sc_hd__inv_2 _09411_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
     .Y(_00484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09993_ (.A1(_06494_),
-    .A2(_06495_),
+ sky130_fd_sc_hd__o22a_1 _09412_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
+    .A2(_06168_),
     .B1(_00484_),
     .B2(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .X(_06530_),
+    .X(_06198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09994_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .Y(_06531_),
+ sky130_fd_sc_hd__inv_2 _09413_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
+    .Y(_06199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09995_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+ sky130_fd_sc_hd__inv_2 _09414_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
     .Y(_00543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _09996_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .A2(_06496_),
-    .B1(_06531_),
+ sky130_fd_sc_hd__o22a_1 _09415_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
+    .A2(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .B1(_06199_),
     .B2(_00543_),
-    .X(_06532_),
+    .X(_06200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09997_ (.A(_06532_),
-    .Y(_06533_),
+ sky130_fd_sc_hd__inv_2 _09416_ (.A(_06200_),
+    .Y(_06201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09998_ (.A(_06530_),
-    .Y(_06534_),
+ sky130_fd_sc_hd__inv_2 _09417_ (.A(_06198_),
+    .Y(_06202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _09999_ (.A1(_06530_),
-    .A2(_06533_),
-    .B1(_06534_),
-    .B2(_06532_),
-    .X(_06535_),
+ sky130_fd_sc_hd__a22o_1 _09418_ (.A1(_06198_),
+    .A2(_06201_),
+    .B1(_06202_),
+    .B2(_06200_),
+    .X(_06203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10000_ (.A1_N(_06529_),
-    .A2_N(_06535_),
-    .B1(_06529_),
-    .B2(_06535_),
-    .X(_06536_),
+ sky130_fd_sc_hd__a2bb2o_1 _09419_ (.A1_N(_06197_),
+    .A2_N(_06203_),
+    .B1(_06197_),
+    .B2(_06203_),
+    .X(_06204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10001_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
-    .Y(_06537_),
+ sky130_fd_sc_hd__inv_2 _09420_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+    .Y(_06205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10002_ (.A(_00629_),
-    .Y(_06538_),
+ sky130_fd_sc_hd__inv_2 _09421_ (.A(_00629_),
+    .Y(_06206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10003_ (.A1(_06537_),
+ sky130_fd_sc_hd__o22a_1 _09422_ (.A1(_06205_),
     .A2(_00629_),
     .B1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
-    .B2(_06538_),
-    .X(_06539_),
+    .B2(_06206_),
+    .X(_06207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10004_ (.A(_00617_),
-    .Y(_06540_),
+ sky130_fd_sc_hd__inv_2 _09423_ (.A(_00617_),
+    .Y(_06208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10005_ (.A(_00615_),
-    .Y(_06541_),
+ sky130_fd_sc_hd__inv_2 _09424_ (.A(_00615_),
+    .Y(_06209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10006_ (.A1(_06540_),
+ sky130_fd_sc_hd__o22a_1 _09425_ (.A1(_06208_),
     .A2(_00615_),
     .B1(_00617_),
-    .B2(_06541_),
-    .X(_06542_),
+    .B2(_06209_),
+    .X(_06210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10007_ (.A(_06542_),
-    .Y(_06543_),
+ sky130_fd_sc_hd__inv_2 _09426_ (.A(_06210_),
+    .Y(_06211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10008_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+ sky130_fd_sc_hd__clkinv_4 _09427_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
     .Y(_00513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10009_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
-    .A2(_06543_),
+ sky130_fd_sc_hd__a22o_1 _09428_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .A2(_06211_),
     .B1(_00513_),
-    .B2(_06542_),
-    .X(_06544_),
+    .B2(_06210_),
+    .X(_06212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10010_ (.A(_00623_),
-    .Y(_06545_),
+ sky130_fd_sc_hd__inv_2 _09429_ (.A(_00623_),
+    .Y(_06213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10011_ (.A(_00627_),
-    .Y(_06546_),
+ sky130_fd_sc_hd__inv_2 _09430_ (.A(_00627_),
+    .Y(_06214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10012_ (.A1(_06545_),
-    .A2(_06546_),
+ sky130_fd_sc_hd__a22o_1 _09431_ (.A1(_06213_),
+    .A2(_06214_),
     .B1(_00623_),
     .B2(_00627_),
-    .X(_06547_),
+    .X(_06215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10013_ (.A(_00625_),
-    .Y(_06548_),
+ sky130_fd_sc_hd__inv_2 _09432_ (.A(_00625_),
+    .Y(_06216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10014_ (.A(_00619_),
-    .Y(_06549_),
+ sky130_fd_sc_hd__inv_2 _09433_ (.A(_00619_),
+    .Y(_06217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10015_ (.A(_00621_),
-    .Y(_06550_),
+ sky130_fd_sc_hd__inv_2 _09434_ (.A(_00621_),
+    .Y(_06218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10016_ (.A1(_06549_),
+ sky130_fd_sc_hd__o22a_1 _09435_ (.A1(_06217_),
     .A2(_00621_),
     .B1(_00619_),
-    .B2(_06550_),
-    .X(_06551_),
+    .B2(_06218_),
+    .X(_06219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10017_ (.A(_06551_),
-    .Y(_06552_),
+ sky130_fd_sc_hd__inv_2 _09436_ (.A(_06219_),
+    .Y(_06220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10018_ (.A1(_06548_),
-    .A2(_06551_),
+ sky130_fd_sc_hd__o22a_1 _09437_ (.A1(_06216_),
+    .A2(_06219_),
     .B1(_00625_),
-    .B2(_06552_),
-    .X(_06553_),
+    .B2(_06220_),
+    .X(_06221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10019_ (.A1_N(_06547_),
-    .A2_N(_06553_),
-    .B1(_06547_),
-    .B2(_06553_),
-    .X(_06554_),
+ sky130_fd_sc_hd__a2bb2o_1 _09438_ (.A1_N(_06215_),
+    .A2_N(_06221_),
+    .B1(_06215_),
+    .B2(_06221_),
+    .X(_06222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10020_ (.A1_N(_06544_),
-    .A2_N(_06554_),
-    .B1(_06544_),
-    .B2(_06554_),
-    .X(_06555_),
+ sky130_fd_sc_hd__a2bb2o_1 _09439_ (.A1_N(_06212_),
+    .A2_N(_06222_),
+    .B1(_06212_),
+    .B2(_06222_),
+    .X(_06223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10021_ (.A1_N(_06539_),
-    .A2_N(_06555_),
-    .B1(_06539_),
-    .B2(_06555_),
-    .X(_06556_),
+ sky130_fd_sc_hd__a2bb2o_1 _09440_ (.A1_N(_06207_),
+    .A2_N(_06223_),
+    .B1(_06207_),
+    .B2(_06223_),
+    .X(_06224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10022_ (.A1_N(_06536_),
-    .A2_N(_06556_),
-    .B1(_06536_),
-    .B2(_06556_),
-    .X(_06557_),
+ sky130_fd_sc_hd__a2bb2o_1 _09441_ (.A1_N(_06204_),
+    .A2_N(_06224_),
+    .B1(_06204_),
+    .B2(_06224_),
+    .X(_06225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10023_ (.A(_06557_),
-    .Y(_06558_),
+ sky130_fd_sc_hd__inv_2 _09442_ (.A(_06225_),
+    .Y(_06226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10024_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
-    .A2(_06524_),
-    .B1(_06526_),
-    .B2(_06558_),
+ sky130_fd_sc_hd__o22a_1 _09443_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
+    .A2(_06192_),
+    .B1(_06194_),
+    .B2(_06226_),
     .X(_02502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10025_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
-    .Y(_06559_),
+ sky130_fd_sc_hd__inv_2 _09444_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
+    .Y(_06227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10026_ (.A(_06523_),
-    .X(_06560_),
+ sky130_fd_sc_hd__clkbuf_2 _09445_ (.A(_06190_),
+    .X(_06228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10027_ (.A(_06525_),
-    .X(_06561_),
+ sky130_fd_sc_hd__clkbuf_2 _09446_ (.A(_06193_),
+    .X(_06229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10028_ (.A(_06536_),
-    .Y(_06562_),
+ sky130_fd_sc_hd__inv_2 _09447_ (.A(_06204_),
+    .Y(_06230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10029_ (.A(_06555_),
-    .Y(_06563_),
+ sky130_fd_sc_hd__inv_2 _09448_ (.A(_06223_),
+    .Y(_06231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10030_ (.A(_06519_),
-    .X(_06564_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09449_ (.A(_06187_),
+    .X(_06232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10031_ (.A(_06564_),
-    .X(_06565_),
+ sky130_fd_sc_hd__o221a_1 _09450_ (.A1(_06230_),
+    .A2(_06231_),
+    .B1(_06204_),
+    .B2(_06223_),
+    .C1(_06232_),
+    .X(_06233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10032_ (.A1(_06562_),
-    .A2(_06563_),
-    .B1(_06536_),
-    .B2(_06555_),
-    .C1(_06565_),
-    .X(_06566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10033_ (.A1(_06559_),
-    .A2(_06560_),
-    .B1(_06561_),
-    .B2(_06566_),
+ sky130_fd_sc_hd__o22ai_1 _09451_ (.A1(_06227_),
+    .A2(_06228_),
+    .B1(_06229_),
+    .B2(_06233_),
     .Y(_02501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10034_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09452_ (.A(_06191_),
+    .X(_06234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _09453_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
     .A2(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .B1(_06537_),
-    .B2(_06531_),
-    .X(_06567_),
+    .B1(_06205_),
+    .B2(_06199_),
+    .X(_06235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10035_ (.A(_06567_),
-    .Y(_06568_),
+ sky130_fd_sc_hd__inv_2 _09454_ (.A(_06235_),
+    .Y(_06236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10036_ (.A1(_06546_),
+ sky130_fd_sc_hd__o22a_1 _09455_ (.A1(_06214_),
     .A2(_00629_),
     .B1(_00627_),
-    .B2(_06538_),
-    .X(_06569_),
+    .B2(_06206_),
+    .X(_06237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10037_ (.A(_06569_),
-    .Y(_06570_),
+ sky130_fd_sc_hd__inv_2 _09456_ (.A(_06237_),
+    .Y(_06238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10038_ (.A1(_06568_),
-    .A2(_06570_),
-    .B1(_06567_),
-    .B2(_06569_),
-    .C1(_06565_),
-    .X(_06571_),
+ sky130_fd_sc_hd__o221a_1 _09457_ (.A1(_06236_),
+    .A2(_06238_),
+    .B1(_06235_),
+    .B2(_06237_),
+    .C1(_06232_),
+    .X(_06239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10039_ (.A1(_00544_),
-    .A2(_06560_),
-    .B1(_06561_),
-    .B2(_06571_),
+ sky130_fd_sc_hd__o22ai_1 _09458_ (.A1(_00544_),
+    .A2(_06234_),
+    .B1(_06229_),
+    .B2(_06239_),
     .Y(_02500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10040_ (.A(_06525_),
-    .X(_06572_),
+ sky130_fd_sc_hd__clkbuf_1 _09459_ (.A(_06193_),
+    .X(_06240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10041_ (.A1(_06548_),
+ sky130_fd_sc_hd__o22a_1 _09460_ (.A1(_06216_),
     .A2(_00627_),
     .B1(_00625_),
-    .B2(_06546_),
-    .X(_06573_),
+    .B2(_06214_),
+    .X(_06241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10042_ (.A(_06573_),
-    .Y(_06574_),
+ sky130_fd_sc_hd__inv_2 _09461_ (.A(_06241_),
+    .Y(_06242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10043_ (.A(_06564_),
-    .X(_06575_),
+ sky130_fd_sc_hd__o221a_1 _09462_ (.A1(_06200_),
+    .A2(_06241_),
+    .B1(_06201_),
+    .B2(_06242_),
+    .C1(_06232_),
+    .X(_06243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10044_ (.A1(_06532_),
-    .A2(_06573_),
-    .B1(_06533_),
-    .B2(_06574_),
-    .C1(_06575_),
-    .X(_06576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10045_ (.A(_06525_),
-    .X(_06577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10046_ (.A1_N(_06572_),
-    .A2_N(_06576_),
+ sky130_fd_sc_hd__a2bb2o_1 _09463_ (.A1_N(_06240_),
+    .A2_N(_06243_),
     .B1(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
-    .B2(_06577_),
+    .B2(_06240_),
     .X(_02499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10047_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+ sky130_fd_sc_hd__inv_2 _09464_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
     .Y(_00514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10048_ (.A1(_06496_),
-    .A2(_06497_),
+ sky130_fd_sc_hd__o22a_1 _09465_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .A2(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
     .B1(_00543_),
-    .B2(_06528_),
-    .X(_06578_),
+    .B2(_06196_),
+    .X(_06244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10049_ (.A(_06578_),
-    .Y(_06579_),
+ sky130_fd_sc_hd__inv_2 _09466_ (.A(_06244_),
+    .Y(_06245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10050_ (.A1(_06545_),
+ sky130_fd_sc_hd__o22a_1 _09467_ (.A1(_06213_),
     .A2(_00625_),
     .B1(_00623_),
-    .B2(_06548_),
-    .X(_06580_),
+    .B2(_06216_),
+    .X(_06246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10051_ (.A(_06580_),
-    .Y(_06581_),
+ sky130_fd_sc_hd__inv_2 _09468_ (.A(_06246_),
+    .Y(_06247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10052_ (.A1(_06579_),
-    .A2(_06581_),
-    .B1(_06578_),
-    .B2(_06580_),
-    .C1(_06565_),
-    .X(_06582_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09469_ (.A(_06187_),
+    .X(_06248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10053_ (.A1(_00514_),
-    .A2(_06560_),
-    .B1(_06561_),
-    .B2(_06582_),
+ sky130_fd_sc_hd__o221a_1 _09470_ (.A1(_06245_),
+    .A2(_06247_),
+    .B1(_06244_),
+    .B2(_06246_),
+    .C1(_06248_),
+    .X(_06249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22ai_1 _09471_ (.A1(_00514_),
+    .A2(_06234_),
+    .B1(_06229_),
+    .B2(_06249_),
     .Y(_02498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10054_ (.A(_06522_),
-    .X(_06583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10055_ (.A1(_06550_),
+ sky130_fd_sc_hd__o22a_1 _09472_ (.A1(_06218_),
     .A2(_00623_),
     .B1(_00621_),
-    .B2(_06545_),
-    .X(_06584_),
+    .B2(_06213_),
+    .X(_06250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10056_ (.A1_N(_06528_),
-    .A2_N(_06584_),
-    .B1(_06528_),
-    .B2(_06584_),
-    .X(_06585_),
+ sky130_fd_sc_hd__a2bb2o_1 _09473_ (.A1_N(_06196_),
+    .A2_N(_06250_),
+    .B1(_06196_),
+    .B2(_06250_),
+    .X(_06251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10057_ (.A(_06585_),
-    .Y(_06586_),
+ sky130_fd_sc_hd__inv_2 _09474_ (.A(_06251_),
+    .Y(_06252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10058_ (.A1(_06499_),
-    .A2(_06585_),
+ sky130_fd_sc_hd__a221o_1 _09475_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .A2(_06251_),
     .B1(_00513_),
-    .B2(_06586_),
-    .C1(_06517_),
-    .X(_06587_),
+    .B2(_06252_),
+    .C1(_06185_),
+    .X(_06253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10059_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
-    .A2(_06577_),
-    .B1(_06583_),
-    .B2(_06587_),
+ sky130_fd_sc_hd__a22o_1 _09476_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+    .A2(_06229_),
+    .B1(_06192_),
+    .B2(_06253_),
     .X(_02497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10060_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
+ sky130_fd_sc_hd__inv_2 _09477_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
     .Y(_00485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10061_ (.A1(_06499_),
-    .A2(_06500_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09478_ (.A(_06193_),
+    .X(_06254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _09479_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .A2(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
     .B1(_00513_),
-    .B2(_06527_),
-    .X(_06588_),
+    .B2(_06195_),
+    .X(_06255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10062_ (.A(_06588_),
-    .Y(_06589_),
+ sky130_fd_sc_hd__inv_2 _09480_ (.A(_06255_),
+    .Y(_06256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10063_ (.A1(_06552_),
-    .A2(_06589_),
-    .B1(_06551_),
-    .B2(_06588_),
-    .C1(_06565_),
-    .X(_06590_),
+ sky130_fd_sc_hd__o221a_1 _09481_ (.A1(_06220_),
+    .A2(_06256_),
+    .B1(_06219_),
+    .B2(_06255_),
+    .C1(_06248_),
+    .X(_06257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10064_ (.A1(_00485_),
-    .A2(_06560_),
-    .B1(_06561_),
-    .B2(_06590_),
+ sky130_fd_sc_hd__o22ai_1 _09482_ (.A1(_00485_),
+    .A2(_06234_),
+    .B1(_06254_),
+    .B2(_06257_),
     .Y(_02496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10065_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
-    .Y(_06591_),
+ sky130_fd_sc_hd__inv_2 _09483_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
+    .Y(_06258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10066_ (.A1(_06540_),
+ sky130_fd_sc_hd__o22a_1 _09484_ (.A1(_06208_),
     .A2(_00619_),
     .B1(_00617_),
-    .B2(_06549_),
-    .X(_06592_),
+    .B2(_06217_),
+    .X(_06259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10067_ (.A1_N(_06527_),
-    .A2_N(_06592_),
-    .B1(_06527_),
-    .B2(_06592_),
-    .X(_06593_),
+ sky130_fd_sc_hd__a2bb2o_1 _09485_ (.A1_N(_06195_),
+    .A2_N(_06259_),
+    .B1(_06195_),
+    .B2(_06259_),
+    .X(_06260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10068_ (.A(_06593_),
-    .Y(_06594_),
+ sky130_fd_sc_hd__inv_2 _09486_ (.A(_06260_),
+    .Y(_06261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10069_ (.A1(_00484_),
-    .A2(_06594_),
-    .B1(_06494_),
-    .B2(_06593_),
-    .C1(_06575_),
-    .X(_06595_),
+ sky130_fd_sc_hd__o221a_1 _09487_ (.A1(_00484_),
+    .A2(_06261_),
+    .B1(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
+    .B2(_06260_),
+    .C1(_06248_),
+    .X(_06262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10070_ (.A1(_06591_),
-    .A2(_06583_),
-    .B1(_06572_),
-    .B2(_06595_),
+ sky130_fd_sc_hd__o22ai_1 _09488_ (.A1(_06258_),
+    .A2(_06234_),
+    .B1(_06254_),
+    .B2(_06262_),
     .Y(_02495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10071_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
-    .A2(_06542_),
-    .B1(_06503_),
-    .B2(_06543_),
-    .X(_06596_),
+ sky130_fd_sc_hd__o22a_1 _09489_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
+    .A2(_06210_),
+    .B1(_06172_),
+    .B2(_06211_),
+    .X(_06263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10072_ (.A(_06596_),
-    .Y(_06597_),
+ sky130_fd_sc_hd__inv_2 _09490_ (.A(_06263_),
+    .Y(_06264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10073_ (.A1(_06534_),
-    .A2(_06596_),
-    .B1(_06530_),
-    .B2(_06597_),
-    .C1(_06575_),
-    .X(_06598_),
+ sky130_fd_sc_hd__o221a_1 _09491_ (.A1(_06202_),
+    .A2(_06263_),
+    .B1(_06198_),
+    .B2(_06264_),
+    .C1(_06248_),
+    .X(_06265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10074_ (.A1(_06537_),
-    .A2(_06583_),
-    .B1(_06572_),
-    .B2(_06598_),
+ sky130_fd_sc_hd__o22ai_1 _09492_ (.A1(_06205_),
+    .A2(_06191_),
+    .B1(_06254_),
+    .B2(_06265_),
     .Y(_02494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10075_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
+ sky130_fd_sc_hd__o22a_1 _09493_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
     .A2(_00615_),
-    .B1(_06559_),
-    .B2(_06541_),
-    .X(_06599_),
+    .B1(_06227_),
+    .B2(_06209_),
+    .X(_06266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10076_ (.A(_06599_),
-    .Y(_06600_),
+ sky130_fd_sc_hd__inv_2 _09494_ (.A(_06266_),
+    .Y(_06267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10077_ (.A1(_06495_),
-    .A2(_06600_),
+ sky130_fd_sc_hd__o221a_1 _09495_ (.A1(_06168_),
+    .A2(_06267_),
     .B1(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .B2(_06599_),
-    .C1(_06575_),
-    .X(_06601_),
+    .B2(_06266_),
+    .C1(_06187_),
+    .X(_06268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10078_ (.A1(_06531_),
-    .A2(_06583_),
-    .B1(_06572_),
-    .B2(_06601_),
+ sky130_fd_sc_hd__o22ai_1 _09496_ (.A1(_06199_),
+    .A2(_06191_),
+    .B1(_06254_),
+    .B2(_06268_),
     .Y(_02493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10079_ (.A(_06526_),
-    .X(_06602_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09497_ (.A(_06194_),
+    .X(_06269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10080_ (.A1(_06496_),
-    .A2(_06524_),
+ sky130_fd_sc_hd__o22a_1 _09498_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .A2(_06192_),
     .B1(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
-    .B2(_06602_),
+    .B2(_06269_),
     .X(_02492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10081_ (.A1(_06497_),
-    .A2(_06524_),
+ sky130_fd_sc_hd__o22a_1 _09499_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .A2(_06192_),
     .B1(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
-    .B2(_06602_),
+    .B2(_06269_),
     .X(_02491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10082_ (.A1(_06499_),
-    .A2(_06524_),
+ sky130_fd_sc_hd__o22a_1 _09500_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .A2(_06228_),
     .B1(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
-    .B2(_06602_),
+    .B2(_06269_),
     .X(_02490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10083_ (.A1(_06500_),
-    .A2(_06523_),
+ sky130_fd_sc_hd__o22a_1 _09501_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .A2(_06228_),
     .B1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
-    .B2(_06602_),
+    .B2(_06269_),
     .X(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10084_ (.A1(_06494_),
-    .A2(_06523_),
+ sky130_fd_sc_hd__o22a_1 _09502_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
+    .A2(_06228_),
     .B1(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
-    .B2(_06526_),
+    .B2(_06194_),
     .X(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10085_ (.A1(_06591_),
-    .A2(_06558_),
+ sky130_fd_sc_hd__o221a_1 _09503_ (.A1(_06258_),
+    .A2(_06226_),
     .B1(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
-    .B2(_06557_),
-    .C1(_06564_),
-    .X(_06603_),
+    .B2(_06225_),
+    .C1(_06232_),
+    .X(_06270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10086_ (.A1_N(_06577_),
-    .A2_N(_06603_),
+ sky130_fd_sc_hd__a2bb2o_1 _09504_ (.A1_N(_06240_),
+    .A2_N(_06270_),
     .B1(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .B2(_06577_),
+    .B2(_06240_),
     .X(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10087_ (.A(\u_usb_host.u_core.u_fifo_tx.count[6] ),
-    .X(_06604_),
+ sky130_fd_sc_hd__inv_2 _09505_ (.A(\u_usb_host.u_core.u_fifo_tx.count[4] ),
+    .Y(_06271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10088_ (.A(\u_usb_host.u_core.u_fifo_tx.count[4] ),
-    .Y(_06605_),
+ sky130_fd_sc_hd__inv_2 _09506_ (.A(\u_usb_host.u_core.u_fifo_tx.count[2] ),
+    .Y(_06272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10089_ (.A(\u_usb_host.u_core.u_fifo_tx.count[2] ),
-    .Y(_06606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10090_ (.A(\u_usb_host.u_core.u_fifo_tx.count[1] ),
+ sky130_fd_sc_hd__nor2_1 _09507_ (.A(\u_usb_host.u_core.u_fifo_tx.count[1] ),
     .B(\u_usb_host.u_core.u_fifo_tx.count[0] ),
-    .Y(_06607_),
+    .Y(_06273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10091_ (.A(\u_usb_host.u_core.u_fifo_tx.count[3] ),
-    .Y(_06608_),
+ sky130_fd_sc_hd__inv_2 _09508_ (.A(\u_usb_host.u_core.u_fifo_tx.count[3] ),
+    .Y(_06274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10092_ (.A(_06606_),
-    .B(_06607_),
-    .C(_06608_),
-    .X(_06609_),
+ sky130_fd_sc_hd__and3_1 _09509_ (.A(_06272_),
+    .B(_06273_),
+    .C(_06274_),
+    .X(_06275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10093_ (.A(_06605_),
-    .B(_06609_),
-    .Y(_06610_),
+ sky130_fd_sc_hd__nand2_1 _09510_ (.A(_06271_),
+    .B(_06275_),
+    .Y(_06276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10094_ (.A(\u_usb_host.u_core.u_fifo_tx.count[5] ),
-    .B(_06610_),
-    .X(_06611_),
+ sky130_fd_sc_hd__or2_2 _09511_ (.A(\u_usb_host.u_core.u_fifo_tx.count[5] ),
+    .B(_06276_),
+    .X(_06277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_2 _10095_ (.A1(_06604_),
-    .A2(_06611_),
-    .B1(_06484_),
-    .C1(_06432_),
-    .X(_06612_),
+ sky130_fd_sc_hd__o211a_4 _09512_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[6] ),
+    .A2(_06277_),
+    .B1(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .C1(_06116_),
+    .X(_06278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10096_ (.A(_06612_),
-    .Y(_06613_),
+ sky130_fd_sc_hd__inv_2 _09513_ (.A(_06278_),
+    .Y(_06279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10097_ (.A(\u_usb_host.u_core.u_fifo_tx.count[6] ),
-    .Y(_06614_),
+ sky130_fd_sc_hd__inv_2 _09514_ (.A(\u_usb_host.u_core.u_fifo_tx.count[6] ),
+    .Y(_06280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10098_ (.A1(_06614_),
-    .A2(_06611_),
+ sky130_fd_sc_hd__o21ai_2 _09515_ (.A1(_06280_),
+    .A2(_06277_),
     .B1(\u_usb_host.u_core.u_fifo_tx.push_i ),
-    .Y(_06615_),
+    .Y(_06281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10099_ (.A(_06615_),
-    .Y(_06616_),
+ sky130_fd_sc_hd__inv_2 _09516_ (.A(_06281_),
+    .Y(_06282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10100_ (.A(_06613_),
-    .B(_06616_),
-    .X(_06617_),
+ sky130_fd_sc_hd__or2_2 _09517_ (.A(_06279_),
+    .B(_06282_),
+    .X(_06283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10101_ (.A(_06617_),
-    .Y(_06618_),
+ sky130_fd_sc_hd__inv_2 _09518_ (.A(_06283_),
+    .Y(_06284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10102_ (.A(_06615_),
-    .X(_06619_),
+ sky130_fd_sc_hd__clkbuf_2 _09519_ (.A(_06281_),
+    .X(_06285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10103_ (.A(_06619_),
-    .X(_06620_),
+ sky130_fd_sc_hd__buf_2 _09520_ (.A(_06285_),
+    .X(_06286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10104_ (.A(_06620_),
-    .X(_06621_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10105_ (.A(_06612_),
-    .B(_06621_),
+ sky130_fd_sc_hd__nor2_4 _09521_ (.A(_06278_),
+    .B(_06286_),
     .Y(_00245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10106_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
-    .B(_06618_),
+ sky130_fd_sc_hd__or3_1 _09522_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+    .B(_06284_),
     .C(_00245_),
-    .X(_06622_),
+    .X(_06287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10107_ (.A(_06622_),
-    .X(_06623_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09523_ (.A(_06287_),
+    .X(_06288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10108_ (.A(_06623_),
-    .X(_06624_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09524_ (.A(_06288_),
+    .X(_06289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10109_ (.A(_06622_),
-    .Y(_06625_),
+ sky130_fd_sc_hd__inv_2 _09525_ (.A(_06287_),
+    .Y(_06290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10110_ (.A(_06625_),
-    .X(_06626_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09526_ (.A(_06290_),
+    .X(_06291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10111_ (.A1(_00148_),
-    .A2(_06624_),
-    .B1(_06604_),
-    .B2(_06626_),
+ sky130_fd_sc_hd__a22o_1 _09527_ (.A1(_00148_),
+    .A2(_06289_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.count[6] ),
+    .B2(_06291_),
     .X(_02486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10112_ (.A(\u_usb_host.u_core.u_fifo_tx.count[5] ),
-    .X(_06627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10113_ (.A1(_00147_),
-    .A2(_06624_),
-    .B1(_06627_),
-    .B2(_06626_),
+ sky130_fd_sc_hd__a22o_1 _09528_ (.A1(_00147_),
+    .A2(_06289_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.count[5] ),
+    .B2(_06291_),
     .X(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10114_ (.A1(_00146_),
-    .A2(_06624_),
+ sky130_fd_sc_hd__a22o_1 _09529_ (.A1(_00146_),
+    .A2(_06289_),
     .B1(\u_usb_host.u_core.u_fifo_tx.count[4] ),
-    .B2(_06626_),
+    .B2(_06291_),
     .X(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10115_ (.A1(_00145_),
-    .A2(_06624_),
+ sky130_fd_sc_hd__a22o_1 _09530_ (.A1(_00145_),
+    .A2(_06289_),
     .B1(\u_usb_host.u_core.u_fifo_tx.count[3] ),
-    .B2(_06626_),
+    .B2(_06291_),
     .X(_02483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10116_ (.A(\u_usb_host.u_core.u_fifo_tx.count[2] ),
-    .X(_06628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10117_ (.A1(_00144_),
-    .A2(_06623_),
-    .B1(_06628_),
-    .B2(_06625_),
+ sky130_fd_sc_hd__a22o_1 _09531_ (.A1(_00144_),
+    .A2(_06288_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.count[2] ),
+    .B2(_06290_),
     .X(_02482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10118_ (.A1(_00143_),
-    .A2(_06623_),
+ sky130_fd_sc_hd__a22o_1 _09532_ (.A1(_00143_),
+    .A2(_06288_),
     .B1(\u_usb_host.u_core.u_fifo_tx.count[1] ),
-    .B2(_06625_),
+    .B2(_06290_),
     .X(_02481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10119_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
-    .X(_06629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10120_ (.A1(_00142_),
-    .A2(_06623_),
-    .B1(_06629_),
-    .B2(_06625_),
+ sky130_fd_sc_hd__a22o_1 _09533_ (.A1(_00142_),
+    .A2(_06288_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .B2(_06290_),
     .X(_02480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10121_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
-    .Y(_06630_),
+ sky130_fd_sc_hd__inv_2 _09534_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
+    .Y(_06292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10122_ (.A(_06630_),
-    .X(_06631_),
+ sky130_fd_sc_hd__clkbuf_1 _09535_ (.A(_06292_),
+    .X(_06293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10123_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
-    .X(_06632_),
+ sky130_fd_sc_hd__inv_2 _09536_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .Y(_06294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10124_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
-    .X(_06633_),
+ sky130_fd_sc_hd__inv_2 _09537_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .Y(_06295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10125_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
-    .Y(_06634_),
+ sky130_fd_sc_hd__nor2_1 _09538_ (.A(_06294_),
+    .B(_06295_),
+    .Y(_06296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10126_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
-    .Y(_06635_),
+ sky130_fd_sc_hd__and3_1 _09539_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .C(_06296_),
+    .X(_06297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10127_ (.A(_06634_),
-    .B(_06635_),
-    .Y(_06636_),
+ sky130_fd_sc_hd__inv_2 _09540_ (.A(_06297_),
+    .Y(_06298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10128_ (.A(_06632_),
-    .B(_06633_),
-    .C(_06636_),
-    .X(_06637_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09541_ (.A(_06298_),
+    .X(_06299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10129_ (.A(_06637_),
-    .Y(_06638_),
+ sky130_fd_sc_hd__inv_2 _09542_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
+    .Y(_06300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10130_ (.A(_06638_),
-    .X(_06639_),
+ sky130_fd_sc_hd__o21ai_1 _09543_ (.A1(_06293_),
+    .A2(_06299_),
+    .B1(_06300_),
+    .Y(_06301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10131_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
-    .Y(_06640_),
+ sky130_fd_sc_hd__or2_1 _09544_ (.A(_06300_),
+    .B(_06292_),
+    .X(_06302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10132_ (.A1(_06631_),
-    .A2(_06639_),
-    .B1(_06640_),
-    .Y(_06641_),
+ sky130_fd_sc_hd__clkbuf_1 _09545_ (.A(_06302_),
+    .X(_06303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10133_ (.A(_06640_),
-    .B(_06630_),
-    .X(_06642_),
+ sky130_fd_sc_hd__buf_2 _09546_ (.A(_06303_),
+    .X(_06304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10134_ (.A(_06642_),
-    .X(_06643_),
+ sky130_fd_sc_hd__or2_2 _09547_ (.A(_06299_),
+    .B(_06304_),
+    .X(_06305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _10135_ (.A(_06643_),
-    .X(_06644_),
+ sky130_fd_sc_hd__clkbuf_2 _09548_ (.A(_06305_),
+    .X(_06306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10136_ (.A(_06639_),
-    .B(_06644_),
-    .X(_06645_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09549_ (.A(_06278_),
+    .X(_06307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10137_ (.A(_06645_),
-    .X(_06646_),
+ sky130_fd_sc_hd__or2_1 _09550_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+    .B(_06278_),
+    .X(_06308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10138_ (.A(_06612_),
-    .X(_06647_),
+ sky130_fd_sc_hd__inv_2 _09551_ (.A(_06308_),
+    .Y(_06309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10139_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
-    .B(_06612_),
-    .X(_06648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10140_ (.A(_06648_),
-    .Y(_06649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10141_ (.A1(_06641_),
-    .A2(_06646_),
-    .A3(_06647_),
+ sky130_fd_sc_hd__a32o_1 _09552_ (.A1(_06301_),
+    .A2(_06306_),
+    .A3(_06307_),
     .B1(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
-    .B2(_06649_),
+    .B2(_06309_),
     .X(_02479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10142_ (.A1(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
-    .A2(_06637_),
-    .B1(_06631_),
-    .B2(_06639_),
-    .X(_06650_),
+ sky130_fd_sc_hd__a22o_1 _09553_ (.A1(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
+    .A2(_06297_),
+    .B1(_06293_),
+    .B2(_06299_),
+    .X(_06310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10143_ (.A1(_06631_),
-    .A2(_06648_),
-    .B1(_06613_),
-    .B2(_06650_),
+ sky130_fd_sc_hd__o22ai_1 _09554_ (.A1(_06293_),
+    .A2(_06308_),
+    .B1(_06279_),
+    .B2(_06310_),
     .Y(_02478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10144_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
-    .Y(_06651_),
+ sky130_fd_sc_hd__inv_2 _09555_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .Y(_06311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10145_ (.A(_06651_),
-    .X(_06652_),
+ sky130_fd_sc_hd__clkbuf_1 _09556_ (.A(_06311_),
+    .X(_06312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10146_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
-    .X(_06653_),
+ sky130_fd_sc_hd__clkbuf_1 _09557_ (.A(_06296_),
+    .X(_06313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10147_ (.A(_06633_),
-    .X(_06654_),
+ sky130_fd_sc_hd__nand2_1 _09558_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .B(_06313_),
+    .Y(_06314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10148_ (.A(_06636_),
-    .X(_06655_),
+ sky130_fd_sc_hd__and3_1 _09559_ (.A(_06312_),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .C(_06313_),
+    .X(_06315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10149_ (.A(_06654_),
-    .B(_06655_),
-    .Y(_06656_),
+ sky130_fd_sc_hd__a21oi_1 _09560_ (.A1(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .A2(_06314_),
+    .B1(_06315_),
+    .Y(_06316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10150_ (.A(_06652_),
-    .B(_06654_),
-    .C(_06655_),
-    .X(_06657_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10151_ (.A1(_06653_),
-    .A2(_06656_),
-    .B1(_06657_),
-    .Y(_06658_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10152_ (.A1(_06652_),
-    .A2(_06648_),
-    .B1(_06613_),
-    .B2(_06658_),
+ sky130_fd_sc_hd__o22ai_1 _09561_ (.A1(_06312_),
+    .A2(_06308_),
+    .B1(_06279_),
+    .B2(_06316_),
     .Y(_02477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10153_ (.A(_06654_),
-    .B(_06655_),
-    .X(_06659_),
+ sky130_fd_sc_hd__or2_1 _09562_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .B(_06313_),
+    .X(_06317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10154_ (.A1(_06656_),
-    .A2(_06659_),
-    .A3(_06647_),
-    .B1(_06654_),
-    .B2(_06649_),
+ sky130_fd_sc_hd__a32o_1 _09563_ (.A1(_06314_),
+    .A2(_06317_),
+    .A3(_06307_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .B2(_06309_),
     .X(_02476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10155_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
-    .X(_06660_),
+ sky130_fd_sc_hd__clkbuf_1 _09564_ (.A(_06294_),
+    .X(_06318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10156_ (.A(_06634_),
-    .X(_06661_),
+ sky130_fd_sc_hd__clkbuf_1 _09565_ (.A(_06318_),
+    .X(_06319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10157_ (.A(_06661_),
-    .X(_06662_),
+ sky130_fd_sc_hd__clkbuf_1 _09566_ (.A(_06295_),
+    .X(_06320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10158_ (.A(_06635_),
-    .X(_06663_),
+ sky130_fd_sc_hd__a21oi_1 _09567_ (.A1(_06319_),
+    .A2(_06320_),
+    .B1(_06313_),
+    .Y(_06321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10159_ (.A1(_06662_),
-    .A2(_06663_),
-    .B1(_06655_),
-    .Y(_06664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10160_ (.A1(_06660_),
-    .A2(_06649_),
-    .B1(_06647_),
-    .B2(_06664_),
+ sky130_fd_sc_hd__a22o_1 _09568_ (.A1(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .A2(_06309_),
+    .B1(_06307_),
+    .B2(_06321_),
     .X(_02475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10161_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
-    .X(_06665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10162_ (.A1(_06665_),
-    .A2(_06647_),
-    .B1(_06663_),
-    .B2(_06649_),
+ sky130_fd_sc_hd__o22a_1 _09569_ (.A1(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .A2(_06307_),
+    .B1(_06320_),
+    .B2(_06309_),
     .X(_02474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10163_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
-    .Y(_06666_),
+ sky130_fd_sc_hd__inv_2 _09570_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
+    .Y(_06322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10164_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
-    .B(_06666_),
-    .X(_06667_),
+ sky130_fd_sc_hd__or2_1 _09571_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
+    .B(_06322_),
+    .X(_06323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10165_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
-    .Y(_06668_),
+ sky130_fd_sc_hd__inv_2 _09572_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .Y(_06324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10166_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
-    .Y(_06669_),
+ sky130_fd_sc_hd__inv_2 _09573_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .Y(_06325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10167_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
-    .Y(_06670_),
+ sky130_fd_sc_hd__inv_2 _09574_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .Y(_06326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10168_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
-    .Y(_06671_),
+ sky130_fd_sc_hd__inv_2 _09575_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .Y(_06327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10169_ (.A(_06670_),
-    .B(_06671_),
-    .X(_06672_),
+ sky130_fd_sc_hd__or2_1 _09576_ (.A(_06326_),
+    .B(_06327_),
+    .X(_06328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10170_ (.A(_06668_),
-    .B(_06669_),
-    .C(_06672_),
-    .X(_06673_),
+ sky130_fd_sc_hd__or3_1 _09577_ (.A(_06324_),
+    .B(_06325_),
+    .C(_06328_),
+    .X(_06329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10171_ (.A(_06673_),
-    .X(_06674_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09578_ (.A(_06329_),
+    .X(_06330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10172_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
-    .Y(_06675_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09579_ (.A(_06330_),
+    .X(_06331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10173_ (.A(_06666_),
-    .B(_06674_),
-    .Y(_06676_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09580_ (.A(_06285_),
+    .X(_06332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10174_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
-    .B(_06616_),
-    .X(_06677_),
+ sky130_fd_sc_hd__inv_2 _09581_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
+    .Y(_06333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10175_ (.A1(_06621_),
-    .A2(_06676_),
-    .B1(_06677_),
-    .X(_06678_),
+ sky130_fd_sc_hd__nor2_1 _09582_ (.A(_06322_),
+    .B(_06331_),
+    .Y(_06334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10176_ (.A1(_06667_),
-    .A2(_06674_),
-    .A3(_06621_),
-    .B1(_06675_),
-    .B2(_06678_),
-    .X(_06679_),
+ sky130_fd_sc_hd__or2_1 _09583_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+    .B(_06282_),
+    .X(_06335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10177_ (.A(_06679_),
+ sky130_fd_sc_hd__o21a_1 _09584_ (.A1(_06332_),
+    .A2(_06334_),
+    .B1(_06335_),
+    .X(_06336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_1 _09585_ (.A1(_06323_),
+    .A2(_06331_),
+    .A3(_06332_),
+    .B1(_06333_),
+    .B2(_06336_),
+    .X(_06337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09586_ (.A(_06337_),
     .Y(_02473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10178_ (.A1(_06621_),
-    .A2(_06676_),
-    .A3(_06674_),
-    .B1(_06666_),
-    .B2(_06678_),
-    .X(_06680_),
+ sky130_fd_sc_hd__o32a_1 _09587_ (.A1(_06332_),
+    .A2(_06334_),
+    .A3(_06331_),
+    .B1(_06322_),
+    .B2(_06336_),
+    .X(_06338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10179_ (.A(_06680_),
+ sky130_fd_sc_hd__inv_2 _09588_ (.A(_06338_),
     .Y(_02472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10180_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
-    .X(_06681_),
+ sky130_fd_sc_hd__a31o_1 _09589_ (.A1(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .A2(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .A3(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .B1(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .X(_06339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10181_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
-    .X(_06682_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09590_ (.A(_06282_),
+    .X(_06340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10182_ (.A(_06682_),
-    .X(_06683_),
+ sky130_fd_sc_hd__inv_2 _09591_ (.A(_06335_),
+    .Y(_06341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10183_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
-    .X(_06684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10184_ (.A(_06684_),
-    .X(_06685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10185_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
-    .X(_06686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10186_ (.A(_06686_),
-    .X(_06687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10187_ (.A1(_06681_),
-    .A2(_06683_),
-    .A3(_06685_),
-    .B1(_06687_),
-    .X(_06688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10188_ (.A(_06616_),
-    .X(_06689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10189_ (.A(_06677_),
-    .Y(_06690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10190_ (.A1(_06674_),
-    .A2(_06688_),
-    .A3(_06689_),
-    .B1(_06687_),
-    .B2(_06690_),
+ sky130_fd_sc_hd__a32o_1 _09592_ (.A1(_06331_),
+    .A2(_06339_),
+    .A3(_06340_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B2(_06341_),
     .X(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10191_ (.A(_06669_),
-    .X(_06691_),
+ sky130_fd_sc_hd__clkbuf_1 _09593_ (.A(_06325_),
+    .X(_06342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10192_ (.A(_06672_),
-    .X(_06692_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09594_ (.A(_06328_),
+    .X(_06343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10193_ (.A(_06692_),
-    .Y(_06693_),
+ sky130_fd_sc_hd__inv_2 _09595_ (.A(_06343_),
+    .Y(_06344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10194_ (.A1(_06691_),
-    .A2(_06692_),
-    .B1(_06685_),
-    .B2(_06693_),
-    .X(_06694_),
+ sky130_fd_sc_hd__o22a_1 _09596_ (.A1(_06342_),
+    .A2(_06343_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .B2(_06344_),
+    .X(_06345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10195_ (.A1(_06685_),
-    .A2(_06690_),
-    .B1(_06689_),
-    .B2(_06694_),
+ sky130_fd_sc_hd__a22o_1 _09597_ (.A1(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .A2(_06341_),
+    .B1(_06340_),
+    .B2(_06345_),
     .X(_02470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10196_ (.A(_06681_),
-    .B(_06682_),
-    .X(_06695_),
+ sky130_fd_sc_hd__or2_1 _09598_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .X(_06346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10197_ (.A(_06695_),
-    .X(_06696_),
+ sky130_fd_sc_hd__clkbuf_1 _09599_ (.A(_06346_),
+    .X(_06347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10198_ (.A1(_06692_),
-    .A2(_06696_),
-    .A3(_06689_),
-    .B1(_06681_),
-    .B2(_06690_),
+ sky130_fd_sc_hd__a32o_1 _09600_ (.A1(_06343_),
+    .A2(_06347_),
+    .A3(_06340_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .B2(_06341_),
     .X(_02469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10199_ (.A1(_06683_),
-    .A2(_06689_),
-    .B1(_06671_),
-    .B2(_06690_),
+ sky130_fd_sc_hd__o22a_1 _09601_ (.A1(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .A2(_06340_),
+    .B1(_06327_),
+    .B2(_06341_),
     .X(_02468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10200_ (.A(_06411_),
-    .B(_06420_),
-    .X(_06697_),
+ sky130_fd_sc_hd__or2_2 _09602_ (.A(_06096_),
+    .B(_06138_),
+    .X(_06348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10201_ (.A1(\u_usb_host.u_core.u_sie.wait_resp_q ),
-    .A2(_05959_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09603_ (.A(_06348_),
+    .X(_06349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _09604_ (.A1(\u_usb_host.u_core.u_sie.wait_resp_q ),
+    .A2(_05661_),
     .B1(\u_usb_host.u_core.resp_expected_q ),
-    .B2(_05961_),
-    .C1(_06697_),
+    .B2(_05662_),
+    .C1(_06349_),
     .X(_02467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10202_ (.A(_05962_),
-    .B(_06697_),
-    .Y(_06698_),
+ sky130_fd_sc_hd__nand2_1 _09605_ (.A(_05664_),
+    .B(_06348_),
+    .Y(_06350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10203_ (.A(_06698_),
-    .X(_06699_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09606_ (.A(_06350_),
+    .X(_06351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _10204_ (.A(_05933_),
+ sky130_fd_sc_hd__clkinv_2 _09607_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
     .Y(_00628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10205_ (.A(_06697_),
-    .X(_06700_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10206_ (.A1(_06026_),
-    .A2(_06699_),
+ sky130_fd_sc_hd__o22ai_1 _09608_ (.A1(_05725_),
+    .A2(_06351_),
     .B1(_00628_),
-    .B2(_06700_),
+    .B2(_06349_),
     .Y(_02466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10207_ (.A(_05936_),
+ sky130_fd_sc_hd__clkinv_2 _09609_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .Y(_00626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10208_ (.A1(_06034_),
-    .A2(_06699_),
+ sky130_fd_sc_hd__o22ai_1 _09610_ (.A1(_05733_),
+    .A2(_06351_),
     .B1(_00626_),
-    .B2(_06700_),
+    .B2(_06349_),
     .Y(_02465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10209_ (.A(_05938_),
+ sky130_fd_sc_hd__inv_2 _09611_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .Y(_00624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10210_ (.A1(_06039_),
-    .A2(_06699_),
+ sky130_fd_sc_hd__o22ai_1 _09612_ (.A1(_05738_),
+    .A2(_06351_),
     .B1(_00624_),
-    .B2(_06700_),
+    .B2(_06349_),
     .Y(_02464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10211_ (.A(_05941_),
+ sky130_fd_sc_hd__inv_2 _09613_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .Y(_00622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10212_ (.A1(_06046_),
-    .A2(_06699_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09614_ (.A(_06348_),
+    .X(_06352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22ai_1 _09615_ (.A1(_05745_),
+    .A2(_06351_),
     .B1(_00622_),
-    .B2(_06700_),
+    .B2(_06352_),
     .Y(_02463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10213_ (.A(_06698_),
-    .X(_06701_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09616_ (.A(_06350_),
+    .X(_06353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10214_ (.A(_05943_),
+ sky130_fd_sc_hd__clkinv_2 _09617_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
     .Y(_00620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10215_ (.A(_06697_),
-    .X(_06702_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10216_ (.A1(_06052_),
-    .A2(_06701_),
+ sky130_fd_sc_hd__o22ai_1 _09618_ (.A1(_05751_),
+    .A2(_06353_),
     .B1(_00620_),
-    .B2(_06702_),
+    .B2(_06352_),
     .Y(_02462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _10217_ (.A(_05946_),
+ sky130_fd_sc_hd__clkinv_2 _09619_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
     .Y(_00618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10218_ (.A1(_06059_),
-    .A2(_06701_),
+ sky130_fd_sc_hd__o22ai_1 _09620_ (.A1(_05758_),
+    .A2(_06353_),
     .B1(_00618_),
-    .B2(_06702_),
+    .B2(_06352_),
     .Y(_02461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _10219_ (.A(_05948_),
+ sky130_fd_sc_hd__clkinv_2 _09621_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
     .Y(_00616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10220_ (.A1(_06064_),
-    .A2(_06701_),
+ sky130_fd_sc_hd__o22ai_1 _09622_ (.A1(_05763_),
+    .A2(_06353_),
     .B1(_00616_),
-    .B2(_06702_),
+    .B2(_06352_),
     .Y(_02460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _10221_ (.A(_05950_),
+ sky130_fd_sc_hd__clkinv_2 _09623_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .Y(_00614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10222_ (.A1(_06071_),
-    .A2(_06701_),
+ sky130_fd_sc_hd__o22ai_1 _09624_ (.A1(_05770_),
+    .A2(_06353_),
     .B1(_00614_),
-    .B2(_06702_),
+    .B2(_06348_),
     .Y(_02459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10223_ (.A(\u_usb_host.u_core.usb_irq_ack_done_out_w ),
-    .Y(_06703_),
+ sky130_fd_sc_hd__inv_2 _09625_ (.A(\u_usb_host.u_core.usb_irq_ack_done_out_w ),
+    .Y(_06354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_1 _10224_ (.A1(\u_usb_host.u_core.intr_done_q ),
-    .A2(_06703_),
+ sky130_fd_sc_hd__a211o_1 _09626_ (.A1(\u_usb_host.u_core.intr_done_q ),
+    .A2(_06354_),
     .B1(\u_usb_host.u_core.status_rx_done_w ),
     .C1(\u_usb_host.u_core.status_tx_done_w ),
     .X(_02458_),
@@ -196360,1008 +194770,918 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _10225_ (.A(\u_usb_host.u_core.sof_time_q[9] ),
-    .B(_06025_),
+ sky130_fd_sc_hd__and4_1 _09627_ (.A(\u_usb_host.u_core.sof_time_q[9] ),
+    .B(\u_usb_host.u_core.sof_time_q[8] ),
     .C(\u_usb_host.u_core.sof_time_q[11] ),
     .D(\u_usb_host.u_core.sof_time_q[10] ),
-    .X(_06704_),
+    .X(_06355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10226_ (.A(_06276_),
-    .Y(_06705_),
+ sky130_fd_sc_hd__inv_2 _09628_ (.A(_05961_),
+    .Y(_06356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _10227_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
-    .B(_06705_),
+ sky130_fd_sc_hd__or4_1 _09629_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
+    .B(_06356_),
     .C(\u_usb_host.u_core.sof_time_q[5] ),
     .D(\u_usb_host.u_core.sof_time_q[4] ),
-    .X(_06706_),
+    .X(_06357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a41o_1 _10228_ (.A1(\u_usb_host.u_core.sof_time_q[7] ),
+ sky130_fd_sc_hd__a41o_1 _09630_ (.A1(\u_usb_host.u_core.sof_time_q[7] ),
     .A2(\u_usb_host.u_core.sof_time_q[6] ),
-    .A3(_06704_),
-    .A4(_06706_),
+    .A3(_06355_),
+    .A4(_06357_),
     .B1(\u_usb_host.u_core.sof_time_q[12] ),
-    .X(_06707_),
+    .X(_06358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10229_ (.A1(\u_usb_host.u_core.sof_time_q[13] ),
-    .A2(_06707_),
-    .B1(_06000_),
-    .Y(_06708_),
+ sky130_fd_sc_hd__a21oi_1 _09631_ (.A1(\u_usb_host.u_core.sof_time_q[13] ),
+    .A2(_06358_),
+    .B1(\u_usb_host.u_core.sof_time_q[14] ),
+    .Y(_06359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o41a_1 _10230_ (.A1(\u_usb_host.u_core.sof_time_q[1] ),
+ sky130_fd_sc_hd__o41a_1 _09632_ (.A1(\u_usb_host.u_core.sof_time_q[1] ),
     .A2(\u_usb_host.u_core.sof_time_q[0] ),
     .A3(\u_usb_host.u_core.sof_time_q[3] ),
     .A4(\u_usb_host.u_core.sof_time_q[2] ),
     .B1(\u_usb_host.u_core.sof_time_q[4] ),
-    .X(_06709_),
+    .X(_06360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10231_ (.A1(\u_usb_host.u_core.sof_time_q[5] ),
-    .A2(_06709_),
+ sky130_fd_sc_hd__o21a_1 _09633_ (.A1(\u_usb_host.u_core.sof_time_q[5] ),
+    .A2(_06360_),
     .B1(\u_usb_host.u_core.sof_time_q[6] ),
-    .X(_06710_),
+    .X(_06361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _10232_ (.A(\u_usb_host.u_core.sof_time_q[13] ),
+ sky130_fd_sc_hd__or4_1 _09634_ (.A(\u_usb_host.u_core.sof_time_q[13] ),
     .B(\u_usb_host.u_core.sof_time_q[12] ),
     .C(\u_usb_host.u_core.sof_time_q[11] ),
-    .D(_06024_),
-    .X(_06711_),
+    .D(\u_usb_host.u_core.sof_time_q[10] ),
+    .X(_06362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10233_ (.A(\u_usb_host.u_core.sof_time_q[9] ),
-    .B(_06025_),
+ sky130_fd_sc_hd__or3_1 _09635_ (.A(\u_usb_host.u_core.sof_time_q[9] ),
+    .B(\u_usb_host.u_core.sof_time_q[8] ),
     .C(\u_usb_host.u_core.sof_time_q[7] ),
-    .X(_06712_),
+    .X(_06363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _10234_ (.A(\u_usb_host.u_core.sof_time_q[15] ),
-    .B(_06000_),
-    .C(_06711_),
-    .D(_06712_),
-    .X(_06713_),
+ sky130_fd_sc_hd__or4_1 _09636_ (.A(\u_usb_host.u_core.sof_time_q[15] ),
+    .B(\u_usb_host.u_core.sof_time_q[14] ),
+    .C(_06362_),
+    .D(_06363_),
+    .X(_06364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10235_ (.A1(_05979_),
-    .A2(_06708_),
-    .B1(_06710_),
-    .B2(_06713_),
-    .X(_06714_),
+ sky130_fd_sc_hd__o22a_1 _09637_ (.A1(_05682_),
+    .A2(_06359_),
+    .B1(_06361_),
+    .B2(_06364_),
+    .X(_06365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _10236_ (.A1(_06188_),
-    .A2(_06714_),
+ sky130_fd_sc_hd__o211a_1 _09638_ (.A1(_05885_),
+    .A2(_06365_),
     .B1(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
-    .C1(_06333_),
-    .X(_06715_),
+    .C1(_06016_),
+    .X(_06366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10237_ (.A(_06283_),
-    .B(_06715_),
-    .X(_06716_),
+ sky130_fd_sc_hd__or2_1 _09639_ (.A(_05968_),
+    .B(_06366_),
+    .X(_06367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _10238_ (.A(_06716_),
+ sky130_fd_sc_hd__clkbuf_1 _09640_ (.A(_06367_),
     .X(_00597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10239_ (.A(_06513_),
+ sky130_fd_sc_hd__nand2_1 _09641_ (.A(_06180_),
     .B(_00597_),
-    .Y(_06717_),
+    .Y(_06368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10240_ (.A1(_06512_),
-    .A2(_06717_),
-    .B1(_06513_),
-    .B2(_06301_),
+ sky130_fd_sc_hd__a22o_1 _09642_ (.A1(\u_usb_host.u_core.sof_transfer_q ),
+    .A2(_06368_),
+    .B1(_06180_),
+    .B2(\u_usb_host.u_core.send_sof_w ),
     .X(_02457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10241_ (.A(_06282_),
-    .X(_06718_),
+ sky130_fd_sc_hd__clkbuf_2 _09643_ (.A(_05967_),
+    .X(_06369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10242_ (.A(_06717_),
-    .Y(_06719_),
+ sky130_fd_sc_hd__inv_2 _09644_ (.A(_06368_),
+    .Y(_06370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10243_ (.A1(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
-    .A2(_06718_),
-    .A3(_06719_),
+ sky130_fd_sc_hd__a32o_1 _09645_ (.A1(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+    .A2(_06369_),
+    .A3(_06370_),
     .B1(\u_usb_host.u_core.resp_expected_q ),
-    .B2(_06717_),
+    .B2(_06368_),
     .X(_02456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 _10244_ (.A(\u_usb_host.u_core.fifo_flush_q ),
-    .X(_06720_),
+ sky130_fd_sc_hd__inv_2 _09646_ (.A(\u_usb_host.u_core.fifo_flush_q ),
+    .Y(_06371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10245_ (.A(_06720_),
-    .Y(_06721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10246_ (.A1(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
-    .A2(_06721_),
-    .A3(_06250_),
-    .B1(_00806_),
-    .B2(_06254_),
+ sky130_fd_sc_hd__a32o_1 _09647_ (.A1(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+    .A2(_06371_),
+    .A3(_05945_),
+    .B1(net119),
+    .B2(_05944_),
     .X(_02455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10247_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
-    .Y(_06722_),
+ sky130_fd_sc_hd__inv_2 _09648_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
+    .Y(_06372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10248_ (.A(\u_usb_host.u_core.u_fifo_rx.count[5] ),
-    .Y(_06723_),
+ sky130_fd_sc_hd__inv_2 _09649_ (.A(\u_usb_host.u_core.u_fifo_rx.count[5] ),
+    .Y(_06373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10249_ (.A(\u_usb_host.u_core.u_fifo_rx.count[4] ),
-    .Y(_06724_),
+ sky130_fd_sc_hd__inv_2 _09650_ (.A(\u_usb_host.u_core.u_fifo_rx.count[4] ),
+    .Y(_06374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10250_ (.A(\u_usb_host.u_core.u_fifo_rx.count[2] ),
-    .Y(_06725_),
+ sky130_fd_sc_hd__inv_2 _09651_ (.A(\u_usb_host.u_core.u_fifo_rx.count[2] ),
+    .Y(_06375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10251_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
+ sky130_fd_sc_hd__nor2_1 _09652_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
     .B(\u_usb_host.u_core.u_fifo_rx.count[0] ),
-    .Y(_06726_),
+    .Y(_06376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10252_ (.A(\u_usb_host.u_core.u_fifo_rx.count[3] ),
-    .Y(_06727_),
+ sky130_fd_sc_hd__inv_2 _09653_ (.A(\u_usb_host.u_core.u_fifo_rx.count[3] ),
+    .Y(_06377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10253_ (.A(_06725_),
-    .B(_06726_),
-    .C(_06727_),
-    .X(_06728_),
+ sky130_fd_sc_hd__and3_1 _09654_ (.A(_06375_),
+    .B(_06376_),
+    .C(_06377_),
+    .X(_06378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10254_ (.A(_06724_),
-    .B(_06728_),
-    .Y(_06729_),
+ sky130_fd_sc_hd__nand2_1 _09655_ (.A(_06374_),
+    .B(_06378_),
+    .Y(_06379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10255_ (.A(_06729_),
-    .Y(_06730_),
+ sky130_fd_sc_hd__inv_2 _09656_ (.A(_06379_),
+    .Y(_06380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10256_ (.A(\u_usb_host.u_core.u_sie.crc_byte_w ),
-    .B(_06411_),
-    .C(_06332_),
-    .D(_06473_),
-    .X(_06731_),
+ sky130_fd_sc_hd__or4_4 _09657_ (.A(\u_usb_host.u_core.u_sie.crc_byte_w ),
+    .B(_06095_),
+    .C(_06016_),
+    .D(_06156_),
+    .X(_06381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_2 _10257_ (.A1(_06723_),
-    .A2(_06730_),
+ sky130_fd_sc_hd__a31o_2 _09658_ (.A1(_06373_),
+    .A2(_06380_),
     .A3(\u_usb_host.u_core.u_fifo_rx.count[6] ),
-    .B1(_06731_),
-    .X(_06732_),
+    .B1(_06381_),
+    .X(_06382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10258_ (.A(_06732_),
-    .Y(_06733_),
+ sky130_fd_sc_hd__inv_2 _09659_ (.A(_06382_),
+    .Y(_06383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10259_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
-    .Y(_06734_),
+ sky130_fd_sc_hd__inv_2 _09660_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
+    .Y(_06384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10260_ (.A(_06734_),
-    .X(_06735_),
+ sky130_fd_sc_hd__clkbuf_1 _09661_ (.A(_06384_),
+    .X(_06385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10261_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
-    .Y(_06736_),
+ sky130_fd_sc_hd__inv_2 _09662_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .Y(_06386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10262_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
-    .Y(_06737_),
+ sky130_fd_sc_hd__inv_2 _09663_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .Y(_06387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10263_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
-    .Y(_06738_),
+ sky130_fd_sc_hd__inv_2 _09664_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .Y(_06388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10264_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
-    .Y(_06739_),
+ sky130_fd_sc_hd__inv_2 _09665_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .Y(_06389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _10265_ (.A(_06736_),
-    .B(_06737_),
-    .C(_06738_),
-    .D(_06739_),
-    .X(_06740_),
+ sky130_fd_sc_hd__or4_1 _09666_ (.A(_06386_),
+    .B(_06387_),
+    .C(_06388_),
+    .D(_06389_),
+    .X(_06390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _10266_ (.A(_06740_),
-    .X(_06741_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09667_ (.A(_06390_),
+    .X(_06391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10267_ (.A(_06735_),
-    .B(_06741_),
-    .X(_06742_),
+ sky130_fd_sc_hd__or2_1 _09668_ (.A(_06385_),
+    .B(_06391_),
+    .X(_06392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10268_ (.A(_06720_),
-    .B(_06733_),
-    .Y(_06743_),
+ sky130_fd_sc_hd__nor2_1 _09669_ (.A(\u_usb_host.u_core.fifo_flush_q ),
+    .B(_06383_),
+    .Y(_06393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10269_ (.A1(_06733_),
-    .A2(_06742_),
-    .B1(_06743_),
-    .Y(_06744_),
+ sky130_fd_sc_hd__a21oi_1 _09670_ (.A1(_06383_),
+    .A2(_06392_),
+    .B1(_06393_),
+    .Y(_06394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10270_ (.A(_06732_),
-    .X(_06745_),
+ sky130_fd_sc_hd__clkbuf_4 _09671_ (.A(_06382_),
+    .X(_06395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _10271_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
-    .B(_06735_),
-    .C(_06740_),
-    .D(_06745_),
-    .X(_06746_),
+ sky130_fd_sc_hd__or4_2 _09672_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
+    .B(_06385_),
+    .C(_06390_),
+    .D(_06395_),
+    .X(_06396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10272_ (.A1(_06722_),
-    .A2(_06744_),
-    .B1(_06746_),
+ sky130_fd_sc_hd__o21ai_1 _09673_ (.A1(_06372_),
+    .A2(_06394_),
+    .B1(_06396_),
     .Y(_02454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10273_ (.A(_06745_),
-    .X(_06747_),
+ sky130_fd_sc_hd__clkbuf_1 _09674_ (.A(_06395_),
+    .X(_06397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10274_ (.A(_06747_),
-    .X(_06748_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09675_ (.A(_06397_),
+    .X(_06398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10275_ (.A(_06742_),
-    .Y(_06749_),
+ sky130_fd_sc_hd__inv_2 _09676_ (.A(_06392_),
+    .Y(_06399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10276_ (.A1(_06748_),
-    .A2(_06749_),
-    .A3(_06741_),
-    .B1(_06735_),
-    .B2(_06744_),
-    .X(_06750_),
+ sky130_fd_sc_hd__o32a_1 _09677_ (.A1(_06398_),
+    .A2(_06399_),
+    .A3(_06391_),
+    .B1(_06385_),
+    .B2(_06394_),
+    .X(_06400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10277_ (.A(_06750_),
+ sky130_fd_sc_hd__inv_2 _09678_ (.A(_06400_),
     .Y(_02453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10278_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
-    .X(_06751_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09679_ (.A(_06393_),
+    .X(_06401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10279_ (.A(_06743_),
-    .X(_06752_),
+ sky130_fd_sc_hd__clkbuf_1 _09680_ (.A(_06383_),
+    .X(_06402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10280_ (.A(_06733_),
-    .X(_06753_),
+ sky130_fd_sc_hd__nor2_1 _09681_ (.A(_06386_),
+    .B(_06387_),
+    .Y(_06403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10281_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
-    .X(_06754_),
+ sky130_fd_sc_hd__nand2_1 _09682_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .B(_06403_),
+    .Y(_06404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10282_ (.A(_06736_),
-    .B(_06737_),
-    .Y(_06755_),
+ sky130_fd_sc_hd__clkbuf_1 _09683_ (.A(_06388_),
+    .X(_06405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10283_ (.A(_06754_),
-    .B(_06755_),
-    .Y(_06756_),
+ sky130_fd_sc_hd__and3_1 _09684_ (.A(_06405_),
+    .B(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .C(_06403_),
+    .X(_06406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10284_ (.A(_06738_),
-    .X(_06757_),
+ sky130_fd_sc_hd__a21o_1 _09685_ (.A1(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .A2(_06404_),
+    .B1(_06406_),
+    .X(_06407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10285_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
-    .X(_06758_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10286_ (.A(_06757_),
-    .B(_06758_),
-    .C(_06755_),
-    .X(_06759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _10287_ (.A1(_06751_),
-    .A2(_06756_),
-    .B1(_06759_),
-    .X(_06760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10288_ (.A1(_06751_),
-    .A2(_06752_),
-    .B1(_06753_),
-    .B2(_06760_),
+ sky130_fd_sc_hd__a22o_1 _09686_ (.A1(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .A2(_06401_),
+    .B1(_06402_),
+    .B2(_06407_),
     .X(_02452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10289_ (.A(_06754_),
-    .B(_06755_),
-    .X(_06761_),
+ sky130_fd_sc_hd__or2_1 _09687_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .B(_06403_),
+    .X(_06408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10290_ (.A1(_06756_),
-    .A2(_06761_),
-    .A3(_06753_),
-    .B1(_06754_),
-    .B2(_06752_),
+ sky130_fd_sc_hd__a32o_1 _09688_ (.A1(_06404_),
+    .A2(_06408_),
+    .A3(_06402_),
+    .B1(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .B2(_06401_),
     .X(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10291_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
-    .X(_06762_),
+ sky130_fd_sc_hd__clkbuf_1 _09689_ (.A(_06386_),
+    .X(_06409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10292_ (.A(_06736_),
-    .X(_06763_),
+ sky130_fd_sc_hd__clkbuf_1 _09690_ (.A(_06409_),
+    .X(_06410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10293_ (.A(_06763_),
-    .X(_06764_),
+ sky130_fd_sc_hd__clkbuf_1 _09691_ (.A(_06387_),
+    .X(_06411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10294_ (.A(_06737_),
-    .X(_06765_),
+ sky130_fd_sc_hd__a21oi_1 _09692_ (.A1(_06410_),
+    .A2(_06411_),
+    .B1(_06403_),
+    .Y(_06412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10295_ (.A1(_06764_),
-    .A2(_06765_),
-    .B1(_06755_),
-    .Y(_06766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10296_ (.A1(_06762_),
-    .A2(_06752_),
-    .B1(_06753_),
-    .B2(_06766_),
+ sky130_fd_sc_hd__a22o_1 _09693_ (.A1(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .A2(_06401_),
+    .B1(_06402_),
+    .B2(_06412_),
     .X(_02450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10297_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
-    .X(_06767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10298_ (.A1(_06767_),
-    .A2(_06753_),
-    .B1(_06765_),
-    .B2(_06752_),
+ sky130_fd_sc_hd__o22a_1 _09694_ (.A1(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .A2(_06402_),
+    .B1(_06411_),
+    .B2(_06401_),
     .X(_02449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10299_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
-    .Y(_06768_),
+ sky130_fd_sc_hd__inv_2 _09695_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
+    .Y(_06413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10300_ (.A(_06768_),
-    .X(_06769_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09696_ (.A(_06413_),
+    .X(_06414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10301_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
-    .X(_06770_),
+ sky130_fd_sc_hd__inv_2 _09697_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .Y(_06415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10302_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
-    .Y(_06771_),
+ sky130_fd_sc_hd__inv_2 _09698_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .Y(_06416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10303_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
-    .Y(_06772_),
+ sky130_fd_sc_hd__nor2_1 _09699_ (.A(_06415_),
+    .B(_06416_),
+    .Y(_06417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10304_ (.A(_06771_),
-    .B(_06772_),
-    .Y(_06773_),
+ sky130_fd_sc_hd__and3_1 _09700_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .C(_06417_),
+    .X(_06418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10305_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
-    .B(_06770_),
-    .C(_06773_),
-    .X(_06774_),
+ sky130_fd_sc_hd__inv_2 _09701_ (.A(_06418_),
+    .Y(_06419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10306_ (.A(_06774_),
-    .Y(_06775_),
+ sky130_fd_sc_hd__clkbuf_2 _09702_ (.A(_06419_),
+    .X(_06420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10307_ (.A(_06775_),
-    .X(_06776_),
+ sky130_fd_sc_hd__inv_2 _09703_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
+    .Y(_06421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10308_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
-    .Y(_06777_),
+ sky130_fd_sc_hd__o21ai_1 _09704_ (.A1(_06414_),
+    .A2(_06420_),
+    .B1(_06421_),
+    .Y(_06422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10309_ (.A1(_06769_),
-    .A2(_06776_),
-    .B1(_06777_),
-    .Y(_06778_),
+ sky130_fd_sc_hd__or2_1 _09705_ (.A(_06421_),
+    .B(_06414_),
+    .X(_06423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10310_ (.A(_06777_),
-    .B(_06769_),
-    .X(_06779_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09706_ (.A(_06423_),
+    .X(_06424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10311_ (.A(_06779_),
-    .X(_06780_),
+ sky130_fd_sc_hd__or2_1 _09707_ (.A(_06419_),
+    .B(_06424_),
+    .X(_06425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10312_ (.A(_06780_),
-    .X(_06781_),
+ sky130_fd_sc_hd__clkbuf_2 _09708_ (.A(_06425_),
+    .X(_06426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10313_ (.A(_06776_),
-    .B(_06781_),
-    .X(_06782_),
+ sky130_fd_sc_hd__or2_1 _09709_ (.A(\u_usb_host.u_core.u_fifo_rx.count[5] ),
+    .B(_06379_),
+    .X(_06427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10314_ (.A(_06782_),
-    .X(_06783_),
+ sky130_fd_sc_hd__o211a_4 _09710_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .A2(_06427_),
+    .B1(_05690_),
+    .C1(_05828_),
+    .X(_06428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10315_ (.A(\u_usb_host.u_core.u_fifo_rx.count[5] ),
-    .B(_06729_),
-    .X(_06784_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09711_ (.A(_06428_),
+    .X(_06429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_4 _10316_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[6] ),
-    .A2(_06784_),
-    .B1(_05988_),
-    .C1(_06130_),
-    .X(_06785_),
+ sky130_fd_sc_hd__or2_1 _09712_ (.A(\u_usb_host.u_core.fifo_flush_q ),
+    .B(_06428_),
+    .X(_06430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10317_ (.A(_06785_),
-    .X(_06786_),
+ sky130_fd_sc_hd__inv_2 _09713_ (.A(_06430_),
+    .Y(_06431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10318_ (.A(_06720_),
-    .B(_06785_),
-    .X(_06787_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10319_ (.A(_06787_),
-    .Y(_06788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10320_ (.A1(_06778_),
-    .A2(_06783_),
-    .A3(_06786_),
+ sky130_fd_sc_hd__a32o_1 _09714_ (.A1(_06422_),
+    .A2(_06426_),
+    .A3(_06429_),
     .B1(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
-    .B2(_06788_),
+    .B2(_06431_),
     .X(_02448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10321_ (.A(_06785_),
-    .Y(_06789_),
+ sky130_fd_sc_hd__inv_2 _09715_ (.A(_06428_),
+    .Y(_06432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10322_ (.A1(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
-    .A2(_06774_),
-    .B1(_06769_),
-    .B2(_06776_),
-    .X(_06790_),
+ sky130_fd_sc_hd__a22o_1 _09716_ (.A1(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
+    .A2(_06418_),
+    .B1(_06414_),
+    .B2(_06420_),
+    .X(_06433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10323_ (.A1(_06769_),
-    .A2(_06787_),
-    .B1(_06789_),
-    .B2(_06790_),
+ sky130_fd_sc_hd__o22ai_1 _09717_ (.A1(_06414_),
+    .A2(_06430_),
+    .B1(_06432_),
+    .B2(_06433_),
     .Y(_02447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10324_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
-    .Y(_06791_),
+ sky130_fd_sc_hd__inv_2 _09718_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .Y(_06434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10325_ (.A(_06791_),
-    .X(_06792_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09719_ (.A(_06434_),
+    .X(_06435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10326_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
-    .X(_06793_),
+ sky130_fd_sc_hd__clkbuf_1 _09720_ (.A(_06417_),
+    .X(_06436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10327_ (.A(_06770_),
-    .X(_06794_),
+ sky130_fd_sc_hd__nand2_1 _09721_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .B(_06436_),
+    .Y(_06437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10328_ (.A(_06773_),
-    .X(_06795_),
+ sky130_fd_sc_hd__and3_1 _09722_ (.A(_06435_),
+    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .C(_06436_),
+    .X(_06438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10329_ (.A(_06794_),
-    .B(_06795_),
-    .Y(_06796_),
+ sky130_fd_sc_hd__a21oi_1 _09723_ (.A1(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .A2(_06437_),
+    .B1(_06438_),
+    .Y(_06439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10330_ (.A(_06792_),
-    .B(_06794_),
-    .C(_06795_),
-    .X(_06797_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10331_ (.A1(_06793_),
-    .A2(_06796_),
-    .B1(_06797_),
-    .Y(_06798_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10332_ (.A1(_06792_),
-    .A2(_06787_),
-    .B1(_06789_),
-    .B2(_06798_),
+ sky130_fd_sc_hd__o22ai_1 _09724_ (.A1(_06435_),
+    .A2(_06430_),
+    .B1(_06432_),
+    .B2(_06439_),
     .Y(_02446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10333_ (.A(_06794_),
-    .B(_06795_),
-    .X(_06799_),
+ sky130_fd_sc_hd__or2_1 _09725_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .B(_06436_),
+    .X(_06440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10334_ (.A1(_06796_),
-    .A2(_06799_),
-    .A3(_06786_),
-    .B1(_06794_),
-    .B2(_06788_),
+ sky130_fd_sc_hd__a32o_1 _09726_ (.A1(_06437_),
+    .A2(_06440_),
+    .A3(_06429_),
+    .B1(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .B2(_06431_),
     .X(_02445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10335_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
-    .X(_06800_),
+ sky130_fd_sc_hd__clkbuf_1 _09727_ (.A(_06415_),
+    .X(_06441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10336_ (.A(_06771_),
-    .X(_06801_),
+ sky130_fd_sc_hd__clkbuf_1 _09728_ (.A(_06441_),
+    .X(_06442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10337_ (.A(_06801_),
-    .X(_06802_),
+ sky130_fd_sc_hd__clkbuf_1 _09729_ (.A(_06416_),
+    .X(_06443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10338_ (.A(_06772_),
-    .X(_06803_),
+ sky130_fd_sc_hd__a21oi_1 _09730_ (.A1(_06442_),
+    .A2(_06443_),
+    .B1(_06436_),
+    .Y(_06444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10339_ (.A1(_06802_),
-    .A2(_06803_),
-    .B1(_06795_),
-    .Y(_06804_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10340_ (.A1(_06800_),
-    .A2(_06788_),
-    .B1(_06786_),
-    .B2(_06804_),
+ sky130_fd_sc_hd__a22o_1 _09731_ (.A1(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .A2(_06431_),
+    .B1(_06429_),
+    .B2(_06444_),
     .X(_02444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10341_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
-    .X(_06805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10342_ (.A1(_06805_),
-    .A2(_06786_),
-    .B1(_06803_),
-    .B2(_06788_),
+ sky130_fd_sc_hd__o22a_1 _09732_ (.A1(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .A2(_06429_),
+    .B1(_06443_),
+    .B2(_06431_),
     .X(_02443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10343_ (.A(_06733_),
-    .B(_06789_),
-    .X(_06806_),
+ sky130_fd_sc_hd__or2_2 _09733_ (.A(_06383_),
+    .B(_06432_),
+    .X(_06445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10344_ (.A(_06806_),
-    .Y(_06807_),
+ sky130_fd_sc_hd__inv_2 _09734_ (.A(_06445_),
+    .Y(_06446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10345_ (.A(_06745_),
-    .B(_06785_),
+ sky130_fd_sc_hd__nor2_4 _09735_ (.A(_06395_),
+    .B(_06428_),
     .Y(_00241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10346_ (.A(\u_usb_host.u_core.fifo_flush_q ),
-    .B(_06807_),
+ sky130_fd_sc_hd__or3_1 _09736_ (.A(\u_usb_host.u_core.fifo_flush_q ),
+    .B(_06446_),
     .C(_00241_),
-    .X(_06808_),
+    .X(_06447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10347_ (.A(_06808_),
-    .X(_06809_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09737_ (.A(_06447_),
+    .X(_06448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10348_ (.A(_06809_),
-    .X(_06810_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09738_ (.A(_06448_),
+    .X(_06449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10349_ (.A(\u_usb_host.u_core.u_fifo_rx.count[6] ),
-    .X(_06811_),
+ sky130_fd_sc_hd__inv_2 _09739_ (.A(_06447_),
+    .Y(_06450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10350_ (.A(_06808_),
-    .Y(_06812_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09740_ (.A(_06450_),
+    .X(_06451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10351_ (.A(_06812_),
-    .X(_06813_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10352_ (.A1(_00141_),
-    .A2(_06810_),
-    .B1(_06811_),
-    .B2(_06813_),
+ sky130_fd_sc_hd__a22o_1 _09741_ (.A1(_00141_),
+    .A2(_06449_),
+    .B1(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .B2(_06451_),
     .X(_02442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10353_ (.A1(_00140_),
-    .A2(_06810_),
+ sky130_fd_sc_hd__a22o_1 _09742_ (.A1(_00140_),
+    .A2(_06449_),
     .B1(\u_usb_host.u_core.u_fifo_rx.count[5] ),
-    .B2(_06813_),
+    .B2(_06451_),
     .X(_02441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10354_ (.A1(_00139_),
-    .A2(_06810_),
+ sky130_fd_sc_hd__a22o_1 _09743_ (.A1(_00139_),
+    .A2(_06449_),
     .B1(\u_usb_host.u_core.u_fifo_rx.count[4] ),
-    .B2(_06813_),
+    .B2(_06451_),
     .X(_02440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10355_ (.A1(_00138_),
-    .A2(_06810_),
+ sky130_fd_sc_hd__a22o_1 _09744_ (.A1(_00138_),
+    .A2(_06449_),
     .B1(\u_usb_host.u_core.u_fifo_rx.count[3] ),
-    .B2(_06813_),
+    .B2(_06451_),
     .X(_02439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10356_ (.A(\u_usb_host.u_core.u_fifo_rx.count[2] ),
-    .X(_06814_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10357_ (.A1(_00137_),
-    .A2(_06809_),
-    .B1(_06814_),
-    .B2(_06812_),
+ sky130_fd_sc_hd__a22o_1 _09745_ (.A1(_00137_),
+    .A2(_06448_),
+    .B1(\u_usb_host.u_core.u_fifo_rx.count[2] ),
+    .B2(_06450_),
     .X(_02438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10358_ (.A1(_00136_),
-    .A2(_06809_),
+ sky130_fd_sc_hd__a22o_1 _09746_ (.A1(_00136_),
+    .A2(_06448_),
     .B1(\u_usb_host.u_core.u_fifo_rx.count[1] ),
-    .B2(_06812_),
+    .B2(_06450_),
     .X(_02437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10359_ (.A(\u_usb_host.u_core.u_fifo_rx.count[0] ),
-    .X(_06815_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10360_ (.A1(_00135_),
-    .A2(_06809_),
-    .B1(_06815_),
-    .B2(_06812_),
+ sky130_fd_sc_hd__a22o_1 _09747_ (.A1(_00135_),
+    .A2(_06448_),
+    .B1(\u_usb_host.u_core.u_fifo_rx.count[0] ),
+    .B2(_06450_),
     .X(_02436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10361_ (.A(\u_usb_host.u_phy.rx_dp1_q ),
+ sky130_fd_sc_hd__or2_1 _09748_ (.A(\u_usb_host.u_phy.rx_dp1_q ),
     .B(\u_usb_host.u_phy.rx_dp0_q ),
-    .X(_06816_),
+    .X(_06452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10362_ (.A1(\u_usb_host.u_phy.rx_dp1_q ),
+ sky130_fd_sc_hd__a22o_1 _09749_ (.A1(\u_usb_host.u_phy.rx_dp1_q ),
     .A2(\u_usb_host.u_phy.rx_dp0_q ),
     .B1(\u_usb_host.u_phy.rx_dp_q ),
-    .B2(_06816_),
+    .B2(_06452_),
     .X(_02435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_2 _10363_ (.A1(_06720_),
-    .A2(_06715_),
-    .B1(_06513_),
+ sky130_fd_sc_hd__o21a_1 _09750_ (.A1(\u_usb_host.u_core.fifo_flush_q ),
+    .A2(_06366_),
+    .B1(_06180_),
     .X(_02434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ba_1 _10364_ (.A1(\u_usb_host.u_core.usb_err_q ),
+ sky130_fd_sc_hd__o21ba_1 _09751_ (.A1(\u_usb_host.u_core.usb_err_q ),
     .A2(\u_usb_host.u_core.utmi_rxerror_i ),
     .B1_N(\u_usb_host.u_core.usb_ctrl_wr_q ),
     .X(_02433_),
@@ -197369,631 +195689,637 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10365_ (.A(_06024_),
-    .Y(_06817_),
+ sky130_fd_sc_hd__inv_2 _09752_ (.A(\u_usb_host.u_core.sof_time_q[10] ),
+    .Y(_06453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10366_ (.A(_06029_),
-    .B(_06280_),
-    .X(_06818_),
+ sky130_fd_sc_hd__or2_1 _09753_ (.A(_05728_),
+    .B(_05965_),
+    .X(_06454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _10367_ (.A(_06272_),
-    .B(_06273_),
-    .C(_06818_),
-    .X(_06819_),
+ sky130_fd_sc_hd__or3_2 _09754_ (.A(_05957_),
+    .B(_05958_),
+    .C(_06454_),
+    .X(_06455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10368_ (.A(_06817_),
-    .B(_06819_),
-    .C(_06270_),
-    .X(_06820_),
+ sky130_fd_sc_hd__or3_1 _09755_ (.A(_06453_),
+    .B(_06455_),
+    .C(_05955_),
+    .X(_06456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10369_ (.A(_06016_),
-    .B(_06820_),
-    .X(_06821_),
+ sky130_fd_sc_hd__or2_1 _09756_ (.A(_05717_),
+    .B(_06456_),
+    .X(_06457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10370_ (.A(_06008_),
-    .B(_06821_),
-    .X(_06822_),
+ sky130_fd_sc_hd__or2_1 _09757_ (.A(_05709_),
+    .B(_06457_),
+    .X(_06458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10371_ (.A1(_06001_),
-    .A2(_06822_),
-    .B1(_05979_),
-    .Y(_06823_),
+ sky130_fd_sc_hd__o21ai_1 _09758_ (.A1(_05702_),
+    .A2(_06458_),
+    .B1(_05682_),
+    .Y(_06459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o311a_1 _10372_ (.A1(_06001_),
-    .A2(_06822_),
-    .A3(_05979_),
-    .B1(_06718_),
-    .C1(_06823_),
+ sky130_fd_sc_hd__o311a_1 _09759_ (.A1(_05702_),
+    .A2(_06458_),
+    .A3(_05682_),
+    .B1(_06369_),
+    .C1(_06459_),
     .X(_02432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10373_ (.A(_06822_),
-    .Y(_06824_),
+ sky130_fd_sc_hd__inv_2 _09760_ (.A(_06458_),
+    .Y(_06460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10374_ (.A1(_06001_),
-    .A2(_06822_),
-    .B1(_06000_),
-    .B2(_06824_),
+ sky130_fd_sc_hd__o22a_1 _09761_ (.A1(_05702_),
+    .A2(_06458_),
+    .B1(\u_usb_host.u_core.sof_time_q[14] ),
+    .B2(_06460_),
     .X(_02431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211oi_1 _10375_ (.A1(_06008_),
-    .A2(_06821_),
-    .B1(_06301_),
-    .C1(_06824_),
+ sky130_fd_sc_hd__a211oi_1 _09762_ (.A1(_05709_),
+    .A2(_06457_),
+    .B1(_05986_),
+    .C1(_06460_),
     .Y(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10376_ (.A(_06820_),
-    .Y(_06825_),
+ sky130_fd_sc_hd__inv_2 _09763_ (.A(_06456_),
+    .Y(_06461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _10377_ (.A1(\u_usb_host.u_core.sof_time_q[12] ),
-    .A2(_06825_),
-    .B1(_06718_),
-    .C1(_06821_),
+ sky130_fd_sc_hd__o211a_1 _09764_ (.A1(\u_usb_host.u_core.sof_time_q[12] ),
+    .A2(_06461_),
+    .B1(_06369_),
+    .C1(_06457_),
     .X(_02429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10378_ (.A1(_06817_),
-    .A2(_06819_),
-    .B1(_06270_),
-    .X(_06826_),
+ sky130_fd_sc_hd__o21a_1 _09765_ (.A1(_06453_),
+    .A2(_06455_),
+    .B1(_05955_),
+    .X(_06462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _10379_ (.A(\u_usb_host.u_core.send_sof_w ),
-    .B(_06825_),
-    .C(_06826_),
+ sky130_fd_sc_hd__nor3_1 _09766_ (.A(_05986_),
+    .B(_06461_),
+    .C(_06462_),
     .Y(_02428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10380_ (.A(_06819_),
-    .Y(_06827_),
+ sky130_fd_sc_hd__inv_2 _09767_ (.A(_06455_),
+    .Y(_06463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10381_ (.A1(_06817_),
-    .A2(_06819_),
-    .B1(_06024_),
-    .B2(_06827_),
+ sky130_fd_sc_hd__o22a_1 _09768_ (.A1(_06453_),
+    .A2(_06455_),
+    .B1(\u_usb_host.u_core.sof_time_q[10] ),
+    .B2(_06463_),
     .X(_02427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10382_ (.A1(_06273_),
-    .A2(_06818_),
-    .B1(_06272_),
-    .X(_06828_),
+ sky130_fd_sc_hd__o21a_1 _09769_ (.A1(_05958_),
+    .A2(_06454_),
+    .B1(_05957_),
+    .X(_06464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _10383_ (.A(_06301_),
-    .B(_06827_),
-    .C(_06828_),
+ sky130_fd_sc_hd__nor3_1 _09770_ (.A(_05986_),
+    .B(_06463_),
+    .C(_06464_),
     .Y(_02426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10384_ (.A(_06818_),
-    .Y(_06829_),
+ sky130_fd_sc_hd__inv_2 _09771_ (.A(_06454_),
+    .Y(_06465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10385_ (.A1(_06273_),
-    .A2(_06818_),
-    .B1(_06025_),
-    .B2(_06829_),
-    .C1(_06718_),
+ sky130_fd_sc_hd__o221a_1 _09772_ (.A1(_05958_),
+    .A2(_06454_),
+    .B1(\u_usb_host.u_core.sof_time_q[8] ),
+    .B2(_06465_),
+    .C1(_06369_),
     .X(_02425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10386_ (.A(_06281_),
-    .Y(_06830_),
+ sky130_fd_sc_hd__inv_2 _09773_ (.A(_05966_),
+    .Y(_06466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211oi_1 _10387_ (.A1(_06029_),
-    .A2(_06280_),
-    .B1(_06830_),
-    .C1(_06829_),
+ sky130_fd_sc_hd__a211oi_1 _09774_ (.A1(_05728_),
+    .A2(_05965_),
+    .B1(_06466_),
+    .C1(_06465_),
     .Y(_02424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10388_ (.A(_06036_),
-    .B(_06279_),
-    .Y(_06831_),
+ sky130_fd_sc_hd__nand2_1 _09775_ (.A(_05735_),
+    .B(_05964_),
+    .Y(_06467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _10389_ (.A1(_06188_),
-    .A2(_06013_),
-    .B1(_06830_),
-    .Y(_06832_),
+ sky130_fd_sc_hd__o21ai_2 _09776_ (.A1(_05885_),
+    .A2(_05714_),
+    .B1(_06466_),
+    .Y(_06468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10390_ (.A(_06832_),
-    .Y(_06833_),
+ sky130_fd_sc_hd__inv_2 _09777_ (.A(_06468_),
+    .Y(_06469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10391_ (.A(_06833_),
-    .X(_06834_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09778_ (.A(_06469_),
+    .X(_06470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _10392_ (.A1(_06280_),
-    .A2(_06831_),
-    .B1(_06834_),
+ sky130_fd_sc_hd__a21o_1 _09779_ (.A1(_05965_),
+    .A2(_06467_),
+    .B1(_06470_),
     .X(_02423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10393_ (.A(_06042_),
-    .B(_06278_),
-    .Y(_06835_),
+ sky130_fd_sc_hd__nand2_1 _09780_ (.A(_05741_),
+    .B(_05963_),
+    .Y(_06471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _10394_ (.A1(_06279_),
-    .A2(_06835_),
-    .B1(_06834_),
+ sky130_fd_sc_hd__a21o_1 _09781_ (.A1(_05964_),
+    .A2(_06471_),
+    .B1(_06470_),
     .X(_02422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10395_ (.A(_06277_),
-    .Y(_06836_),
+ sky130_fd_sc_hd__inv_2 _09782_ (.A(_05962_),
+    .Y(_06472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10396_ (.A1(\u_usb_host.u_core.sof_time_q[4] ),
-    .A2(_06836_),
-    .B1(_06278_),
-    .X(_06837_),
+ sky130_fd_sc_hd__o21a_1 _09783_ (.A1(\u_usb_host.u_core.sof_time_q[4] ),
+    .A2(_06472_),
+    .B1(_05963_),
+    .X(_06473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10397_ (.A(_06834_),
-    .B(_06837_),
+ sky130_fd_sc_hd__or2_1 _09784_ (.A(_06470_),
+    .B(_06473_),
+    .X(_06474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09785_ (.A(_06474_),
     .X(_02421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10398_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
-    .B(_06705_),
-    .Y(_06838_),
+ sky130_fd_sc_hd__nor2_1 _09786_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
+    .B(_06356_),
+    .Y(_06475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10399_ (.A1(_06836_),
-    .A2(_06838_),
-    .B1(_06832_),
+ sky130_fd_sc_hd__o21ai_1 _09787_ (.A1(_06472_),
+    .A2(_06475_),
+    .B1(_06468_),
     .Y(_02420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10400_ (.A1(_06067_),
-    .A2(_06074_),
-    .B1(_06061_),
-    .X(_06839_),
+ sky130_fd_sc_hd__o21a_1 _09788_ (.A1(_05766_),
+    .A2(_05773_),
+    .B1(_05760_),
+    .X(_06476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10401_ (.A1(_06705_),
-    .A2(_06839_),
-    .B1(_06832_),
+ sky130_fd_sc_hd__o21ai_1 _09789_ (.A1(_06356_),
+    .A2(_06476_),
+    .B1(_06468_),
     .Y(_02419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10402_ (.A1(_06067_),
-    .A2(_06074_),
+ sky130_fd_sc_hd__o22a_1 _09790_ (.A1(_05766_),
+    .A2(_05773_),
     .B1(\u_usb_host.u_core.sof_time_q[1] ),
     .B2(\u_usb_host.u_core.sof_time_q[0] ),
-    .X(_06840_),
+    .X(_06477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10403_ (.A(_06833_),
-    .B(_06840_),
+ sky130_fd_sc_hd__or2_1 _09791_ (.A(_06470_),
+    .B(_06477_),
+    .X(_06478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09792_ (.A(_06478_),
     .X(_02418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10404_ (.A(_06074_),
-    .B(_06834_),
+ sky130_fd_sc_hd__or2_1 _09793_ (.A(_05773_),
+    .B(_06469_),
+    .X(_06479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09794_ (.A(_06479_),
     .X(_02417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10405_ (.A1(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
-    .A2(_06282_),
-    .A3(_06719_),
+ sky130_fd_sc_hd__a32o_1 _09795_ (.A1(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+    .A2(_05967_),
+    .A3(_06370_),
     .B1(\u_usb_host.u_core.in_transfer_q ),
-    .B2(_06717_),
+    .B2(_06368_),
     .X(_02416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10406_ (.A(\u_usb_host.u_phy.state_q[13] ),
-    .Y(_06841_),
+ sky130_fd_sc_hd__nand2_1 _09796_ (.A(\u_usb_host.u_phy.state_q[13] ),
+    .B(_05990_),
+    .Y(_06480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10407_ (.A(_06841_),
-    .B(_06303_),
-    .X(_06842_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10408_ (.A(_06842_),
+ sky130_fd_sc_hd__inv_2 _09797_ (.A(_06480_),
     .Y(_00215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10409_ (.A(\u_usb_host.u_phy.state_q[0] ),
+ sky130_fd_sc_hd__or2_1 _09798_ (.A(\u_usb_host.u_phy.state_q[0] ),
     .B(_00215_),
-    .X(_06843_),
+    .X(_06481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_2 _10410_ (.A1(_06365_),
-    .A2(_06395_),
-    .B1(_06843_),
-    .Y(_06844_),
+ sky130_fd_sc_hd__a21oi_2 _09799_ (.A1(_06047_),
+    .A2(_06079_),
+    .B1(_06481_),
+    .Y(_06482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10411_ (.A(_06844_),
-    .X(_06845_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09800_ (.A(_06482_),
+    .X(_06483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10412_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
+ sky130_fd_sc_hd__inv_2 _09801_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
     .Y(_00557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10413_ (.A(_06844_),
-    .Y(_06846_),
+ sky130_fd_sc_hd__inv_2 _09802_ (.A(_06482_),
+    .Y(_06484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10414_ (.A(_06846_),
-    .X(_06847_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09803_ (.A(_06484_),
+    .X(_06485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10415_ (.A1(_06369_),
+ sky130_fd_sc_hd__o32a_1 _09804_ (.A1(\u_usb_host.u_phy.state_q[0] ),
     .A2(_00586_),
-    .A3(_06845_),
+    .A3(_06483_),
     .B1(_00557_),
-    .B2(_06847_),
-    .X(_06848_),
+    .B2(_06485_),
+    .X(_06486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10416_ (.A(_06848_),
+ sky130_fd_sc_hd__inv_2 _09805_ (.A(_06486_),
     .Y(_02415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10417_ (.A(_00571_),
-    .Y(_06849_),
+ sky130_fd_sc_hd__inv_2 _09806_ (.A(_00571_),
+    .Y(_06487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10418_ (.A(_06846_),
-    .X(_06850_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09807_ (.A(_06484_),
+    .X(_06488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10419_ (.A(_06844_),
-    .X(_06851_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09808_ (.A(_06482_),
+    .X(_06489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10420_ (.A1(_06325_),
-    .A2(_06849_),
-    .A3(_06850_),
+ sky130_fd_sc_hd__a32o_1 _09809_ (.A1(_06010_),
+    .A2(_06487_),
+    .A3(_06488_),
     .B1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
-    .B2(_06851_),
+    .B2(_06489_),
     .X(_02414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10421_ (.A(_06369_),
-    .X(_06852_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10422_ (.A1(_06852_),
+ sky130_fd_sc_hd__o32a_1 _09810_ (.A1(\u_usb_host.u_phy.state_q[0] ),
     .A2(_00556_),
-    .A3(_06851_),
+    .A3(_06489_),
     .B1(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
-    .B2(_06850_),
+    .B2(_06488_),
     .X(_02413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10423_ (.A(_00542_),
-    .Y(_06853_),
+ sky130_fd_sc_hd__inv_2 _09811_ (.A(_00542_),
+    .Y(_06490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10424_ (.A1(_06324_),
-    .A2(_06853_),
-    .A3(_06847_),
+ sky130_fd_sc_hd__a32o_1 _09812_ (.A1(_06009_),
+    .A2(_06490_),
+    .A3(_06485_),
     .B1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
-    .B2(_06851_),
+    .B2(_06489_),
     .X(_02412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10425_ (.A1(_06852_),
+ sky130_fd_sc_hd__o32a_1 _09813_ (.A1(\u_usb_host.u_phy.state_q[0] ),
     .A2(_00527_),
-    .A3(_06845_),
+    .A3(_06483_),
     .B1(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
-    .B2(_06850_),
+    .B2(_06488_),
     .X(_02411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10426_ (.A(_00512_),
-    .Y(_06854_),
+ sky130_fd_sc_hd__inv_2 _09814_ (.A(_00512_),
+    .Y(_06491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10427_ (.A1(_06324_),
-    .A2(_06854_),
-    .A3(_06847_),
+ sky130_fd_sc_hd__a32o_1 _09815_ (.A1(_06009_),
+    .A2(_06491_),
+    .A3(_06485_),
     .B1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
-    .B2(_06851_),
+    .B2(_06489_),
     .X(_02410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10428_ (.A1(_06329_),
+ sky130_fd_sc_hd__o32a_1 _09816_ (.A1(\u_usb_host.u_phy.state_q[0] ),
     .A2(_00497_),
-    .A3(_06845_),
+    .A3(_06483_),
     .B1(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
-    .B2(_06850_),
+    .B2(_06488_),
     .X(_02409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10429_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
-    .Y(_06855_),
+ sky130_fd_sc_hd__inv_2 _09817_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
+    .Y(_06492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10430_ (.A1(_06369_),
+ sky130_fd_sc_hd__o32a_1 _09818_ (.A1(\u_usb_host.u_phy.state_q[0] ),
     .A2(_00483_),
-    .A3(_06845_),
-    .B1(_06855_),
-    .B2(_06847_),
-    .X(_06856_),
+    .A3(_06483_),
+    .B1(_06492_),
+    .B2(_06485_),
+    .X(_06493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10431_ (.A(_06856_),
+ sky130_fd_sc_hd__inv_2 _09819_ (.A(_06493_),
     .Y(_02408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10432_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
+ sky130_fd_sc_hd__o22a_1 _09820_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
     .B1(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
-    .B2(_05498_),
+    .B2(_05269_),
     .X(_02407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10433_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
+ sky130_fd_sc_hd__or2_1 _09821_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ),
-    .X(_06857_),
+    .X(_06494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10434_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[2] ),
-    .B(_06857_),
-    .X(_06858_),
+ sky130_fd_sc_hd__or2_1 _09822_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[2] ),
+    .B(_06494_),
+    .X(_06495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10435_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
-    .B(_06858_),
-    .X(_06859_),
+ sky130_fd_sc_hd__or2_1 _09823_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
+    .B(_06495_),
+    .X(_06496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10436_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
-    .B(_06859_),
-    .X(_06860_),
+ sky130_fd_sc_hd__or2_1 _09824_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
+    .B(_06496_),
+    .X(_06497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10437_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ),
-    .B(_06860_),
-    .X(_06861_),
+ sky130_fd_sc_hd__or2_1 _09825_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ),
+    .B(_06497_),
+    .X(_06498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10438_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
-    .B(_06861_),
-    .X(_06862_),
+ sky130_fd_sc_hd__or2_1 _09826_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
+    .B(_06498_),
+    .X(_06499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10439_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
-    .B(_06862_),
-    .X(_06863_),
+ sky130_fd_sc_hd__or2_1 _09827_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
+    .B(_06499_),
+    .X(_06500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10440_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ),
-    .B(_06863_),
-    .X(_06864_),
+ sky130_fd_sc_hd__or2_1 _09828_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ),
+    .B(_06500_),
+    .X(_06501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10441_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
-    .B(_06864_),
-    .X(_06865_),
+ sky130_fd_sc_hd__or2_1 _09829_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
+    .B(_06501_),
+    .X(_06502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10442_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
-    .B(_06865_),
-    .X(_06866_),
+ sky130_fd_sc_hd__or2_1 _09830_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
+    .B(_06502_),
+    .X(_06503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10443_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[11] ),
-    .B(_06866_),
-    .X(_06867_),
+ sky130_fd_sc_hd__or2_1 _09831_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[11] ),
+    .B(_06503_),
+    .X(_06504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10444_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
-    .B(_06867_),
-    .X(_06868_),
+ sky130_fd_sc_hd__or2_1 _09832_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
+    .B(_06504_),
+    .X(_06505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10445_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
-    .B(_06868_),
-    .X(_06869_),
+ sky130_fd_sc_hd__or2_4 _09833_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
+    .B(_06505_),
+    .X(_06506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10446_ (.A(_06869_),
-    .Y(_06870_),
+ sky130_fd_sc_hd__inv_2 _09834_ (.A(_06506_),
+    .Y(_06507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 _10447_ (.A(_06870_),
+ sky130_fd_sc_hd__buf_8 _09835_ (.A(_06507_),
     .X(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10448_ (.A(_06869_),
-    .X(_06871_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09836_ (.A(_06506_),
+    .X(_06508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10449_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
-    .A2(_06871_),
+ sky130_fd_sc_hd__a22o_1 _09837_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
+    .A2(_06508_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
     .B2(_00229_),
     .X(_02406_),
@@ -198001,8 +196327,8 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10450_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
-    .A2(_06871_),
+ sky130_fd_sc_hd__a22o_1 _09838_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
+    .A2(_06508_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
     .B2(_00229_),
     .X(_02405_),
@@ -198010,1123 +196336,1046 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10451_ (.A(_06870_),
-    .X(_06872_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09839_ (.A(_06507_),
+    .X(_06509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10452_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
-    .A2(_06871_),
+ sky130_fd_sc_hd__a22o_1 _09840_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
+    .A2(_06508_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[1] ),
-    .B2(_06872_),
+    .B2(_06509_),
     .X(_02404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10453_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
-    .A2(_06871_),
+ sky130_fd_sc_hd__a22o_1 _09841_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
+    .A2(_06508_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
-    .B2(_06872_),
+    .B2(_06509_),
     .X(_02403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10454_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
-    .A2(_06869_),
+ sky130_fd_sc_hd__a22o_1 _09842_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
+    .A2(_06506_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
-    .B2(_06872_),
+    .B2(_06509_),
     .X(_02402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10455_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
-    .A2(_06869_),
+ sky130_fd_sc_hd__a22o_1 _09843_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
+    .A2(_06506_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[1] ),
-    .B2(_06872_),
+    .B2(_06509_),
     .X(_02401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10456__1 (.A(clknet_leaf_14_usb_clk),
-    .Y(net90),
+ sky130_fd_sc_hd__inv_2 _09844__1 (.A(clknet_leaf_11_usb_clk),
+    .Y(net97),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10457_ (.A(\u_usb_host.u_phy.state_q[1] ),
-    .X(_06873_),
+ sky130_fd_sc_hd__nor2_1 _09845_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .Y(_06510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_2 _10458_ (.A1(_06486_),
-    .A2(_06478_),
-    .A3(_06487_),
-    .B1(_06455_),
-    .B2(_06511_),
-    .X(_06874_),
+ sky130_fd_sc_hd__o32a_2 _09846_ (.A1(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .A2(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .A3(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B1(_06164_),
+    .B2(_06510_),
+    .X(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10459_ (.A(_06874_),
-    .X(_06875_),
+ sky130_fd_sc_hd__inv_2 _09847_ (.A(_06511_),
+    .Y(_06512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10460_ (.A(\u_usb_host.u_phy.state_q[5] ),
-    .Y(_06876_),
+ sky130_fd_sc_hd__inv_2 _09848_ (.A(\u_usb_host.u_phy.state_q[5] ),
+    .Y(_06513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10461_ (.A1(_06873_),
-    .A2(_06875_),
+ sky130_fd_sc_hd__a22o_1 _09849_ (.A1(\u_usb_host.u_phy.state_q[1] ),
+    .A2(_06512_),
     .B1(\u_usb_host.u_phy.send_eop_q ),
-    .B2(_06876_),
+    .B2(_06513_),
     .X(_02400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10462_ (.A(\u_usb_host.u_phy.out_dn_q ),
-    .Y(_06877_),
+ sky130_fd_sc_hd__inv_2 _09850_ (.A(\u_usb_host.u_phy.out_dn_q ),
+    .Y(_06514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _10463_ (.A1(\u_usb_host.u_phy.state_q[8] ),
+ sky130_fd_sc_hd__o21ai_2 _09851_ (.A1(\u_usb_host.u_phy.state_q[8] ),
     .A2(\u_usb_host.u_phy.state_q[1] ),
-    .B1(_06305_),
-    .Y(_06878_),
+    .B1(_05991_),
+    .Y(_06515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10464_ (.A(_06878_),
+ sky130_fd_sc_hd__inv_2 _09852_ (.A(_06515_),
     .Y(_00216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10465_ (.A(_06855_),
-    .B(_06396_),
-    .X(_06879_),
+ sky130_fd_sc_hd__or2_2 _09853_ (.A(_06492_),
+    .B(_06080_),
+    .X(_06516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10466_ (.A(\u_usb_host.u_phy.state_q[12] ),
+ sky130_fd_sc_hd__or3_1 _09854_ (.A(\u_usb_host.u_phy.state_q[12] ),
     .B(\u_usb_host.u_phy.state_q[5] ),
     .C(\u_usb_host.u_phy.state_q[3] ),
-    .X(_06880_),
+    .X(_06517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _10467_ (.A1(_06305_),
-    .A2(_06880_),
+ sky130_fd_sc_hd__a21o_1 _09855_ (.A1(_05991_),
+    .A2(_06517_),
     .B1(\u_usb_host.u_phy.state_q[10] ),
-    .X(_06881_),
+    .X(_06518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10468_ (.A1(_00216_),
-    .A2(_06879_),
-    .B1(_06878_),
-    .B2(_06881_),
-    .C1(_06843_),
-    .X(_06882_),
+ sky130_fd_sc_hd__a221o_1 _09856_ (.A1(_00216_),
+    .A2(_06516_),
+    .B1(_06515_),
+    .B2(_06518_),
+    .C1(_06481_),
+    .X(_06519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10469_ (.A(_06324_),
-    .B(_06882_),
-    .Y(_06883_),
+ sky130_fd_sc_hd__nand2_1 _09857_ (.A(_06009_),
+    .B(_06519_),
+    .Y(_06520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10470_ (.A1(_06877_),
-    .A2(_06882_),
+ sky130_fd_sc_hd__o22ai_1 _09858_ (.A1(_06514_),
+    .A2(_06519_),
     .B1(_00467_),
-    .B2(_06883_),
+    .B2(_06520_),
     .Y(_02399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10471_ (.A1(\u_usb_host.u_phy.out_dp_q ),
-    .A2(_06882_),
+ sky130_fd_sc_hd__o22a_1 _09859_ (.A1(\u_usb_host.u_phy.out_dp_q ),
+    .A2(_06519_),
     .B1(_00805_),
-    .B2(_06883_),
+    .B2(_06520_),
     .X(_02398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10472_ (.A(\u_usb_host.u_phy.rxd1_q ),
+ sky130_fd_sc_hd__or2_1 _09860_ (.A(\u_usb_host.u_phy.rxd1_q ),
     .B(\u_usb_host.u_phy.rxd0_q ),
-    .X(_06884_),
+    .X(_06521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10473_ (.A1(\u_usb_host.u_phy.rxd1_q ),
+ sky130_fd_sc_hd__a22o_1 _09861_ (.A1(\u_usb_host.u_phy.rxd1_q ),
     .A2(\u_usb_host.u_phy.rxd0_q ),
     .B1(\u_usb_host.u_phy.rxd_q ),
-    .B2(_06884_),
+    .B2(_06521_),
     .X(_02397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10474_ (.A(_05764_),
-    .B(_05654_),
-    .X(_06885_),
+ sky130_fd_sc_hd__or2_1 _09862_ (.A(net11),
+    .B(_05396_),
+    .X(_06522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10475_ (.A(_05770_),
-    .B(_06885_),
-    .X(_06886_),
+ sky130_fd_sc_hd__or2_1 _09863_ (.A(_05503_),
+    .B(_06522_),
+    .X(_06523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10476_ (.A(_06886_),
-    .X(_06887_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09864_ (.A(_06523_),
+    .X(_06524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _10477_ (.A(_05624_),
-    .X(_06888_),
+ sky130_fd_sc_hd__inv_2 _09865_ (.A(_06523_),
+    .Y(_06525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10478_ (.A(_06886_),
-    .Y(_06889_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09866_ (.A(_06525_),
+    .X(_06526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10479_ (.A(_06889_),
-    .X(_06890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10480_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_06887_),
-    .B1(_06888_),
-    .B2(_06890_),
+ sky130_fd_sc_hd__a22o_1 _09867_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A2(_06524_),
+    .B1(net39),
+    .B2(_06526_),
     .X(_02396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10481_ (.A(_05765_),
-    .B(_05662_),
-    .X(_06891_),
+ sky130_fd_sc_hd__or2_1 _09868_ (.A(net11),
+    .B(_05403_),
+    .X(_06527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10482_ (.A(_05770_),
-    .B(_06891_),
-    .X(_06892_),
+ sky130_fd_sc_hd__or2_1 _09869_ (.A(_05503_),
+    .B(_06527_),
+    .X(_06528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10483_ (.A(_06892_),
-    .X(_06893_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09870_ (.A(_06528_),
+    .X(_06529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10484_ (.A(_05642_),
-    .X(_06894_),
+ sky130_fd_sc_hd__inv_2 _09871_ (.A(_06528_),
+    .Y(_06530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10485_ (.A(_06892_),
-    .Y(_06895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10486_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_06893_),
-    .B1(_06894_),
-    .B2(_06895_),
+ sky130_fd_sc_hd__a22o_1 _09872_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_06529_),
+    .B1(net14),
+    .B2(_06530_),
     .X(_02395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10487_ (.A(_05640_),
-    .X(_06896_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10488_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_06893_),
-    .B1(_06896_),
-    .B2(_06895_),
+ sky130_fd_sc_hd__a22o_1 _09873_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_06529_),
+    .B1(net25),
+    .B2(_06530_),
     .X(_02394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10489_ (.A(_05638_),
-    .X(_06897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10490_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_06893_),
-    .B1(_06897_),
-    .B2(_06895_),
+ sky130_fd_sc_hd__a22o_1 _09874_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_06529_),
+    .B1(net32),
+    .B2(_06530_),
     .X(_02393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10491_ (.A(_05765_),
-    .B(_05645_),
-    .C(_05770_),
-    .X(_06898_),
+ sky130_fd_sc_hd__or3_1 _09875_ (.A(net11),
+    .B(_05387_),
+    .C(_05503_),
+    .X(_06531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10492_ (.A(_06898_),
-    .X(_06899_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09876_ (.A(_06531_),
+    .X(_06532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10493_ (.A(net36),
-    .X(_06900_),
+ sky130_fd_sc_hd__inv_2 _09877_ (.A(_06531_),
+    .Y(_06533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _10494_ (.A(_06900_),
-    .X(_06901_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09878_ (.A(_06533_),
+    .X(_06534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10495_ (.A(_06898_),
-    .Y(_06902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10496_ (.A(_06902_),
-    .X(_06903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10497_ (.A1(_06352_),
-    .A2(_06899_),
-    .B1(_06901_),
-    .B2(_06903_),
+ sky130_fd_sc_hd__a22o_1 _09879_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_06532_),
+    .B1(net36),
+    .B2(_06534_),
     .X(_02392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10498_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_06887_),
-    .B1(_06894_),
-    .B2(_06890_),
+ sky130_fd_sc_hd__a22o_1 _09880_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_06524_),
+    .B1(net14),
+    .B2(_06526_),
     .X(_02391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10499_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_06887_),
-    .B1(_06896_),
-    .B2(_06890_),
+ sky130_fd_sc_hd__a22o_1 _09881_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_06524_),
+    .B1(net25),
+    .B2(_06526_),
     .X(_02390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10500_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .X(_06904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10501_ (.A1(_06904_),
-    .A2(_06887_),
-    .B1(_06897_),
-    .B2(_06890_),
+ sky130_fd_sc_hd__a22o_1 _09882_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_06524_),
+    .B1(net32),
+    .B2(_06526_),
     .X(_02389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10502_ (.A(_06886_),
-    .X(_06905_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09883_ (.A(_06523_),
+    .X(_06535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _10503_ (.A(_05635_),
-    .X(_06906_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09884_ (.A(_06525_),
+    .X(_06536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10504_ (.A(_06889_),
-    .X(_06907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10505_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_06905_),
-    .B1(_06906_),
-    .B2(_06907_),
+ sky130_fd_sc_hd__a22o_1 _09885_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_06535_),
+    .B1(net35),
+    .B2(_06536_),
     .X(_02388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10506_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_06905_),
-    .B1(_06901_),
-    .B2(_06907_),
+ sky130_fd_sc_hd__a22o_1 _09886_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_06535_),
+    .B1(net36),
+    .B2(_06536_),
     .X(_02387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10507_ (.A(_05630_),
-    .X(_06908_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10508_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_06905_),
-    .B1(_06908_),
-    .B2(_06907_),
+ sky130_fd_sc_hd__a22o_1 _09887_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(_06535_),
+    .B1(net37),
+    .B2(_06536_),
     .X(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _10509_ (.A(_05628_),
-    .X(_06909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10510_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A2(_06905_),
-    .B1(_06909_),
-    .B2(_06907_),
+ sky130_fd_sc_hd__a22o_1 _09888_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A2(_06535_),
+    .B1(net38),
+    .B2(_06536_),
     .X(_02385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _10511_ (.A(_05805_),
-    .B(_05806_),
+ sky130_fd_sc_hd__or3_2 _09889_ (.A(net137),
+    .B(_05538_),
     .C(\u_uart_core.reg_ack ),
-    .X(_06910_),
+    .X(_06537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10512_ (.A(_06910_),
-    .X(_06911_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09890_ (.A(_06537_),
+    .X(_06538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10513_ (.A(_06910_),
-    .Y(_06912_),
+ sky130_fd_sc_hd__inv_2 _09891_ (.A(_06537_),
+    .Y(_06539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10514_ (.A(_06912_),
-    .X(_06913_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09892_ (.A(_06539_),
+    .X(_06540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10515_ (.A(_00793_),
-    .Y(_06914_),
+ sky130_fd_sc_hd__clkinv_2 _09893_ (.A(_00793_),
+    .Y(_06541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10516_ (.A(_05809_),
-    .X(_06915_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09894_ (.A(_05541_),
+    .X(_06542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10517_ (.A(_06915_),
-    .X(_06916_),
+ sky130_fd_sc_hd__buf_2 _09895_ (.A(_06542_),
+    .X(_06543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10518_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .Y(_06917_),
+ sky130_fd_sc_hd__inv_2 _09896_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .Y(_06544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10519_ (.A(_06885_),
-    .X(_06918_),
+ sky130_fd_sc_hd__buf_2 _09897_ (.A(_06522_),
+    .X(_06545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10520_ (.A(_06918_),
-    .X(_06919_),
+ sky130_fd_sc_hd__o22ai_4 _09898_ (.A1(_06541_),
+    .A2(_06543_),
+    .B1(_06544_),
+    .B2(_06545_),
+    .Y(_06546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_2 _10521_ (.A1(_06914_),
-    .A2(_06916_),
-    .B1(_06917_),
-    .B2(_06919_),
-    .Y(_06920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10522_ (.A1(\u_uart_core.reg_rdata[7] ),
-    .A2(_06911_),
-    .B1(_06913_),
-    .B2(_06920_),
+ sky130_fd_sc_hd__a22o_1 _09899_ (.A1(\u_uart_core.reg_rdata[7] ),
+    .A2(_06538_),
+    .B1(_06540_),
+    .B2(_06546_),
     .X(_02384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10523_ (.A(_00788_),
-    .Y(_06921_),
+ sky130_fd_sc_hd__clkinv_4 _09900_ (.A(_00788_),
+    .Y(_06547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10524_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .Y(_06922_),
+ sky130_fd_sc_hd__inv_2 _09901_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .Y(_06548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10525_ (.A(_06922_),
-    .X(_06923_),
+ sky130_fd_sc_hd__clkbuf_2 _09902_ (.A(_06548_),
+    .X(_06549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_2 _10526_ (.A1(_06921_),
-    .A2(_06916_),
-    .B1(_06923_),
-    .B2(_06919_),
-    .Y(_06924_),
+ sky130_fd_sc_hd__o22ai_4 _09903_ (.A1(_06547_),
+    .A2(_06543_),
+    .B1(_06549_),
+    .B2(_06545_),
+    .Y(_06550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10527_ (.A1(\u_uart_core.reg_rdata[6] ),
-    .A2(_06911_),
-    .B1(_06913_),
-    .B2(_06924_),
+ sky130_fd_sc_hd__a22o_1 _09904_ (.A1(\u_uart_core.reg_rdata[6] ),
+    .A2(_06538_),
+    .B1(_06540_),
+    .B2(_06550_),
     .X(_02383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10528_ (.A(_00783_),
-    .Y(_06925_),
+ sky130_fd_sc_hd__clkinv_2 _09905_ (.A(_00783_),
+    .Y(_06551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10529_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .Y(_06926_),
+ sky130_fd_sc_hd__inv_2 _09906_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .Y(_06552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_2 _10530_ (.A1(_06925_),
-    .A2(_06916_),
-    .B1(_06926_),
-    .B2(_06919_),
-    .Y(_06927_),
+ sky130_fd_sc_hd__o22ai_4 _09907_ (.A1(_06551_),
+    .A2(_06543_),
+    .B1(_06552_),
+    .B2(_06545_),
+    .Y(_06553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10531_ (.A1(\u_uart_core.reg_rdata[5] ),
-    .A2(_06911_),
-    .B1(_06913_),
-    .B2(_06927_),
+ sky130_fd_sc_hd__a22o_1 _09908_ (.A1(\u_uart_core.reg_rdata[5] ),
+    .A2(_06538_),
+    .B1(_06540_),
+    .B2(_06553_),
     .X(_02382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10532_ (.A1(\u_uart_core.reg_rdata[4] ),
-    .A2(_06911_),
+ sky130_fd_sc_hd__a22o_1 _09909_ (.A1(\u_uart_core.reg_rdata[4] ),
+    .A2(_06538_),
     .B1(\u_uart_core.u_cfg.reg_out[4] ),
-    .B2(_06913_),
+    .B2(_06540_),
     .X(_02381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10533_ (.A(_06910_),
-    .X(_06928_),
+ sky130_fd_sc_hd__clkbuf_1 _09910_ (.A(_06537_),
+    .X(_06554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10534_ (.A(_06912_),
-    .X(_06929_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09911_ (.A(_06539_),
+    .X(_06555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10535_ (.A1(\u_uart_core.reg_rdata[3] ),
-    .A2(_06928_),
+ sky130_fd_sc_hd__a22o_1 _09912_ (.A1(\u_uart_core.reg_rdata[3] ),
+    .A2(_06554_),
     .B1(\u_uart_core.u_cfg.reg_out[3] ),
-    .B2(_06929_),
+    .B2(_06555_),
     .X(_02380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10536_ (.A1(\u_uart_core.reg_rdata[2] ),
-    .A2(_06928_),
+ sky130_fd_sc_hd__a22o_1 _09913_ (.A1(\u_uart_core.reg_rdata[2] ),
+    .A2(_06554_),
     .B1(\u_uart_core.u_cfg.reg_out[2] ),
-    .B2(_06929_),
+    .B2(_06555_),
     .X(_02379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10537_ (.A1(\u_uart_core.reg_rdata[1] ),
-    .A2(_06928_),
+ sky130_fd_sc_hd__a22o_1 _09914_ (.A1(\u_uart_core.reg_rdata[1] ),
+    .A2(_06554_),
     .B1(\u_uart_core.u_cfg.reg_out[1] ),
-    .B2(_06929_),
+    .B2(_06555_),
     .X(_02378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10538_ (.A1(\u_uart_core.reg_rdata[0] ),
-    .A2(_06928_),
+ sky130_fd_sc_hd__a22o_1 _09915_ (.A1(\u_uart_core.reg_rdata[0] ),
+    .A2(_06554_),
     .B1(\u_uart_core.u_cfg.reg_out[0] ),
-    .B2(_06929_),
+    .B2(_06555_),
     .X(_02377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10539_ (.A1(\u_uart_core.cfg_tx_enable ),
-    .A2(_06899_),
-    .B1(_06894_),
-    .B2(_06903_),
+ sky130_fd_sc_hd__a22o_1 _09916_ (.A1(\u_uart_core.cfg_tx_enable ),
+    .A2(_06532_),
+    .B1(net14),
+    .B2(_06534_),
     .X(_02376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10540_ (.A1(\u_uart_core.cfg_rx_enable ),
-    .A2(_06899_),
-    .B1(_06896_),
-    .B2(_06903_),
+ sky130_fd_sc_hd__a22o_1 _09917_ (.A1(\u_uart_core.cfg_rx_enable ),
+    .A2(_06532_),
+    .B1(net25),
+    .B2(_06534_),
     .X(_02375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10541_ (.A1(\u_uart_core.cfg_stop_bit ),
-    .A2(_06899_),
-    .B1(_06897_),
-    .B2(_06903_),
+ sky130_fd_sc_hd__a22o_1 _09918_ (.A1(\u_uart_core.cfg_stop_bit ),
+    .A2(_06532_),
+    .B1(net32),
+    .B2(_06534_),
     .X(_02374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10542_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_06898_),
-    .B1(_06906_),
-    .B2(_06902_),
+ sky130_fd_sc_hd__a22o_1 _09919_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_06531_),
+    .B1(net35),
+    .B2(_06533_),
     .X(_02373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10543_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_06893_),
-    .B1(_06906_),
-    .B2(_06895_),
+ sky130_fd_sc_hd__a22o_1 _09920_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_06529_),
+    .B1(net35),
+    .B2(_06530_),
     .X(_02372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10544_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
-    .Y(_06930_),
+ sky130_fd_sc_hd__inv_2 _09921_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .Y(_06556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10545_ (.A(\u_uart_core.u_rxfifo.wr_ptr[0] ),
-    .Y(_06931_),
+ sky130_fd_sc_hd__inv_2 _09922_ (.A(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .Y(_06557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10546_ (.A(_06930_),
-    .B(_06931_),
-    .X(_06932_),
+ sky130_fd_sc_hd__or2_1 _09923_ (.A(_06556_),
+    .B(_06557_),
+    .X(_06558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10547_ (.A(_06932_),
-    .X(_06933_),
+ sky130_fd_sc_hd__clkbuf_1 _09924_ (.A(_06558_),
+    .X(_06559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10548_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
-    .Y(_06934_),
+ sky130_fd_sc_hd__inv_2 _09925_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
+    .Y(_06560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10549_ (.A(_06934_),
-    .X(_06935_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09926_ (.A(_06560_),
+    .X(_06561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10550_ (.A(\u_uart_core.u_rxfifo.wr_ptr[2] ),
-    .Y(_06936_),
+ sky130_fd_sc_hd__inv_2 _09927_ (.A(\u_uart_core.u_rxfifo.wr_ptr[2] ),
+    .Y(_06562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10551_ (.A(_06936_),
-    .X(_06937_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09928_ (.A(_06562_),
+    .X(_06563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10552_ (.A(\u_uart_core.rx_fifo_wr ),
-    .Y(_06938_),
+ sky130_fd_sc_hd__inv_2 _09929_ (.A(\u_uart_core.rx_fifo_wr ),
+    .Y(_06564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10553_ (.A(_06938_),
-    .X(_06939_),
+ sky130_fd_sc_hd__clkbuf_1 _09930_ (.A(_06564_),
+    .X(_06565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10554_ (.A(_06935_),
-    .B(_06937_),
-    .C(_06939_),
-    .X(_06940_),
+ sky130_fd_sc_hd__or3_1 _09931_ (.A(_06561_),
+    .B(_06563_),
+    .C(_06565_),
+    .X(_06566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10555_ (.A(_06933_),
-    .B(_06940_),
-    .X(_06941_),
+ sky130_fd_sc_hd__or2_1 _09932_ (.A(_06559_),
+    .B(_06566_),
+    .X(_06567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10556_ (.A(_06941_),
-    .X(_06942_),
+ sky130_fd_sc_hd__clkbuf_2 _09933_ (.A(_06567_),
+    .X(_06568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10557_ (.A(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
-    .Y(_06943_),
+ sky130_fd_sc_hd__inv_2 _09934_ (.A(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+    .Y(_06569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10558_ (.A(_06941_),
-    .Y(_06944_),
+ sky130_fd_sc_hd__inv_2 _09935_ (.A(_06567_),
+    .Y(_06570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10559_ (.A(_06944_),
-    .X(_06945_),
+ sky130_fd_sc_hd__clkbuf_2 _09936_ (.A(_06570_),
+    .X(_06571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10560_ (.A1(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
-    .A2(_06942_),
-    .B1(_06943_),
-    .B2(_06945_),
+ sky130_fd_sc_hd__a22o_1 _09937_ (.A1(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+    .A2(_06568_),
+    .B1(_06569_),
+    .B2(_06571_),
     .X(_02371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10561_ (.A(_06939_),
-    .X(_06946_),
+ sky130_fd_sc_hd__clkbuf_1 _09938_ (.A(_06565_),
+    .X(_06572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10562_ (.A(_06946_),
-    .X(_06947_),
+ sky130_fd_sc_hd__clkbuf_1 _09939_ (.A(_06572_),
+    .X(_06573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10563_ (.A(_06947_),
-    .X(_06948_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09940_ (.A(_06573_),
+    .X(_06574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10564_ (.A1(_06948_),
+ sky130_fd_sc_hd__o22a_1 _09941_ (.A1(_06574_),
     .A2(_00117_),
-    .B1(_05863_),
+    .B1(\u_uart_core.rx_fifo_wr ),
     .B2(\u_uart_core.u_rxfifo.grey_wr_ptr[3] ),
     .X(_02370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10565_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
-    .X(_06949_),
+ sky130_fd_sc_hd__clkbuf_1 _09942_ (.A(_06562_),
+    .X(_06575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10566_ (.A(_06936_),
-    .X(_06950_),
+ sky130_fd_sc_hd__or2_1 _09943_ (.A(_06562_),
+    .B(_06559_),
+    .X(_06576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10567_ (.A(_06937_),
-    .B(_06933_),
-    .X(_06951_),
+ sky130_fd_sc_hd__a21bo_1 _09944_ (.A1(_06575_),
+    .A2(_06559_),
+    .B1_N(_06576_),
+    .X(_06577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _10568_ (.A1(_06950_),
-    .A2(_06933_),
-    .B1_N(_06951_),
-    .X(_00197_),
+ sky130_fd_sc_hd__clkbuf_1 _09945_ (.A(_06577_),
+    .X(_00178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10569_ (.A(_06934_),
-    .B(_06936_),
-    .C(_06932_),
-    .X(_06952_),
+ sky130_fd_sc_hd__or3_1 _09946_ (.A(_06560_),
+    .B(_06562_),
+    .C(_06558_),
+    .X(_06578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10570_ (.A(_06952_),
-    .Y(_06953_),
+ sky130_fd_sc_hd__inv_2 _09947_ (.A(_06578_),
+    .Y(_06579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10571_ (.A1(_06935_),
-    .A2(_06951_),
-    .B1(_06953_),
+ sky130_fd_sc_hd__a21oi_1 _09948_ (.A1(_06561_),
+    .A2(_06576_),
+    .B1(_06579_),
     .Y(_00370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10572_ (.A(_00370_),
-    .Y(_00196_),
+ sky130_fd_sc_hd__inv_2 _09949_ (.A(_00370_),
+    .Y(_00177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10573_ (.A(_00197_),
-    .Y(_06954_),
+ sky130_fd_sc_hd__inv_2 _09950_ (.A(_00178_),
+    .Y(_06580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10574_ (.A1(_06949_),
-    .A2(_00197_),
-    .B1(_00196_),
-    .B2(_06954_),
-    .C1(_05813_),
-    .X(_06955_),
+ sky130_fd_sc_hd__o221a_1 _09951_ (.A1(\u_uart_core.u_rxfifo.wr_ptr[3] ),
+    .A2(_00178_),
+    .B1(_00177_),
+    .B2(_06580_),
+    .C1(\u_uart_core.rx_fifo_wr ),
+    .X(_06581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ba_1 _10575_ (.A1(_05863_),
+ sky130_fd_sc_hd__o21ba_1 _09952_ (.A1(\u_uart_core.rx_fifo_wr ),
     .A2(\u_uart_core.u_rxfifo.grey_wr_ptr[2] ),
-    .B1_N(_06955_),
+    .B1_N(_06581_),
     .X(_02369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10576_ (.A1(_06948_),
+ sky130_fd_sc_hd__o22a_1 _09953_ (.A1(_06574_),
     .A2(_00115_),
-    .B1(_05863_),
+    .B1(\u_uart_core.rx_fifo_wr ),
     .B2(\u_uart_core.u_rxfifo.grey_wr_ptr[1] ),
     .X(_02368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10577_ (.A(_06930_),
-    .X(_06956_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09954_ (.A(_06556_),
+    .X(_06582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10578_ (.A(_06956_),
-    .X(_06957_),
+ sky130_fd_sc_hd__clkbuf_2 _09955_ (.A(_06582_),
+    .X(_06583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10579_ (.A1(_06948_),
-    .A2(_06957_),
-    .B1(_05813_),
+ sky130_fd_sc_hd__o22a_1 _09956_ (.A1(_06574_),
+    .A2(_06583_),
+    .B1(\u_uart_core.rx_fifo_wr ),
     .B2(\u_uart_core.u_rxfifo.grey_wr_ptr[0] ),
     .X(_02367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10580_ (.A(_06933_),
-    .X(_06958_),
+ sky130_fd_sc_hd__clkbuf_2 _09957_ (.A(_06559_),
+    .X(_06584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10581_ (.A(_06947_),
-    .B(_06958_),
-    .C(_06950_),
-    .X(_06959_),
+ sky130_fd_sc_hd__or3_1 _09958_ (.A(_06573_),
+    .B(_06584_),
+    .C(_06575_),
+    .X(_06585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _10582_ (.A(_06946_),
-    .B(_06949_),
-    .C(_06951_),
-    .X(_06960_),
+ sky130_fd_sc_hd__or3_2 _09959_ (.A(_06572_),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[3] ),
+    .C(_06576_),
+    .X(_06586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10583_ (.A(_06960_),
-    .Y(_06961_),
+ sky130_fd_sc_hd__inv_2 _09960_ (.A(_06586_),
+    .Y(_06587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10584_ (.A(_06961_),
-    .X(_06962_),
+ sky130_fd_sc_hd__clkbuf_2 _09961_ (.A(_06587_),
+    .X(_06588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _10585_ (.A1(_06949_),
-    .A2(_06959_),
-    .B1(_06962_),
+ sky130_fd_sc_hd__a21o_1 _09962_ (.A1(\u_uart_core.u_rxfifo.wr_ptr[3] ),
+    .A2(_06585_),
+    .B1(_06588_),
     .X(_02366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10586_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
-    .X(_06963_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10587_ (.A(\u_uart_core.u_rxfifo.wr_ptr[0] ),
-    .X(_06964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10588_ (.A(_06964_),
-    .X(_06965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10589_ (.A1(_06963_),
-    .A2(_06965_),
+ sky130_fd_sc_hd__a31o_1 _09963_ (.A1(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .A2(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .A3(\u_uart_core.rx_fifo_wr ),
     .B1(\u_uart_core.u_rxfifo.wr_ptr[2] ),
-    .X(_06966_),
+    .X(_06589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _10590_ (.A(_06959_),
-    .B(_06966_),
+ sky130_fd_sc_hd__and2_1 _09964_ (.A(_06585_),
+    .B(_06589_),
+    .X(_06590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _09965_ (.A(_06590_),
     .X(_02365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10591_ (.A(_06931_),
-    .X(_06967_),
+ sky130_fd_sc_hd__clkbuf_2 _09966_ (.A(_06557_),
+    .X(_06591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10592_ (.A(_06967_),
-    .X(_06968_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09967_ (.A(_06591_),
+    .X(_06592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10593_ (.A(_06947_),
-    .B(_06968_),
-    .Y(_06969_),
+ sky130_fd_sc_hd__nor2_1 _09968_ (.A(_06573_),
+    .B(_06592_),
+    .Y(_06593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10594_ (.A1(_06963_),
-    .A2(_06968_),
-    .A3(_06947_),
-    .B1(_06957_),
-    .B2(_06969_),
-    .X(_06970_),
+ sky130_fd_sc_hd__o32a_1 _09969_ (.A1(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .A2(_06592_),
+    .A3(_06573_),
+    .B1(_06583_),
+    .B2(_06593_),
+    .X(_06594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10595_ (.A(_06970_),
+ sky130_fd_sc_hd__clkinv_2 _09970_ (.A(_06594_),
     .Y(_02364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10596_ (.A1(_06948_),
-    .A2(_06968_),
-    .B1(_06969_),
+ sky130_fd_sc_hd__a21oi_1 _09971_ (.A1(_06574_),
+    .A2(_06592_),
+    .B1(_06593_),
     .Y(_02363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10597_ (.A(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .Y(_06971_),
+ sky130_fd_sc_hd__inv_2 _09972_ (.A(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+    .Y(_06595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10598_ (.A(_06971_),
-    .X(_06972_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09973_ (.A(_06595_),
+    .X(_06596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10599_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .Y(_06973_),
+ sky130_fd_sc_hd__inv_2 _09974_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .Y(_06597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10600_ (.A(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .Y(_06974_),
+ sky130_fd_sc_hd__inv_2 _09975_ (.A(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .Y(_06598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10601_ (.A(_06973_),
-    .B(_06974_),
-    .Y(_06975_),
+ sky130_fd_sc_hd__nor2_1 _09976_ (.A(_06597_),
+    .B(_06598_),
+    .Y(_06599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_2 _10602_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .B(_06975_),
-    .Y(_06976_),
+ sky130_fd_sc_hd__nand2_1 _09977_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .B(_06599_),
+    .Y(_06600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10603_ (.A(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
-    .Y(_06977_),
+ sky130_fd_sc_hd__inv_2 _09978_ (.A(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
+    .Y(_06601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10604_ (.A(_06971_),
-    .B(_06976_),
-    .Y(_06978_),
+ sky130_fd_sc_hd__nor2_1 _09979_ (.A(_06596_),
+    .B(_06600_),
+    .Y(_06602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _10605_ (.A1(_06972_),
-    .A2(_06976_),
+ sky130_fd_sc_hd__o32a_1 _09980_ (.A1(_06596_),
+    .A2(_06600_),
     .A3(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
-    .B1(_06977_),
-    .B2(_06978_),
+    .B1(_06601_),
+    .B2(_06602_),
     .X(_00366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _10606_ (.A1_N(\u_uart_core.app_rxfifo_rd_en ),
+ sky130_fd_sc_hd__o2bb2a_1 _09981_ (.A1_N(\u_uart_core.app_rxfifo_rd_en ),
     .A2_N(_00366_),
     .B1(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
     .B2(\u_uart_core.app_rxfifo_rd_en ),
@@ -199135,161 +197384,161 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10607_ (.A(_05810_),
-    .X(_06979_),
+ sky130_fd_sc_hd__clkbuf_1 _09982_ (.A(_05542_),
+    .X(_06603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_2 _10608_ (.A1(_06972_),
-    .A2(_06976_),
-    .B1(_06978_),
+ sky130_fd_sc_hd__a21oi_2 _09983_ (.A1(_06596_),
+    .A2(_06600_),
+    .B1(_06602_),
     .Y(_00367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10609_ (.A(_05811_),
-    .X(_06980_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09984_ (.A(_05543_),
+    .X(_06604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10610_ (.A1(_06979_),
+ sky130_fd_sc_hd__o22a_1 _09985_ (.A1(_06603_),
     .A2(_00367_),
     .B1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .B2(_06980_),
+    .B2(_06604_),
     .X(_02361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _10611_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .A2(_06975_),
-    .B1(_06976_),
-    .Y(_00194_),
+ sky130_fd_sc_hd__o21ai_2 _09986_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .A2(_06599_),
+    .B1(_06600_),
+    .Y(_00183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10612_ (.A(_00194_),
-    .Y(_06981_),
+ sky130_fd_sc_hd__inv_2 _09987_ (.A(_00183_),
+    .Y(_06605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10613_ (.A1(_06979_),
-    .A2(_06981_),
+ sky130_fd_sc_hd__o22a_1 _09988_ (.A1(_06603_),
+    .A2(_06605_),
     .B1(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .B2(_06980_),
+    .B2(_06604_),
     .X(_02360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10614_ (.A(_05810_),
-    .X(_06982_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09989_ (.A(_06603_),
+    .X(_06606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10615_ (.A(_06974_),
-    .X(_06983_),
+ sky130_fd_sc_hd__clkbuf_2 _09990_ (.A(_06598_),
+    .X(_06607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_2 _10616_ (.A1(_06973_),
-    .A2(_06983_),
-    .B1(_06975_),
+ sky130_fd_sc_hd__a21oi_2 _09991_ (.A1(_06597_),
+    .A2(_06607_),
+    .B1(_06599_),
     .Y(_00368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10617_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .A2(_06982_),
-    .B1(_06980_),
+ sky130_fd_sc_hd__a22o_1 _09992_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .A2(_06606_),
+    .B1(_06604_),
     .B2(_00368_),
     .X(_02359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10618_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .A2(_06982_),
-    .B1(_06983_),
-    .B2(_06980_),
+ sky130_fd_sc_hd__a22o_1 _09993_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .A2(_06606_),
+    .B1(_06607_),
+    .B2(_06604_),
     .X(_02358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10619_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ),
-    .A2(_05812_),
+ sky130_fd_sc_hd__o22a_1 _09994_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ),
+    .A2(_05544_),
     .B1(_00118_),
-    .B2(_06979_),
+    .B2(_06606_),
     .X(_02357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10620_ (.A1(_06972_),
-    .A2(_06981_),
+ sky130_fd_sc_hd__a221o_1 _09995_ (.A1(_06596_),
+    .A2(_06605_),
     .B1(_00367_),
-    .B2(_00194_),
-    .C1(_06982_),
-    .X(_06984_),
+    .B2(_00183_),
+    .C1(_05542_),
+    .X(_06608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10621_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__o21a_1 _09996_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ),
     .A2(\u_uart_core.app_rxfifo_rd_en ),
-    .B1(_06984_),
+    .B1(_06608_),
     .X(_02356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10622_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
-    .A2(_05812_),
+ sky130_fd_sc_hd__o22a_1 _09997_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
+    .A2(_05544_),
     .B1(_00116_),
-    .B2(_06979_),
+    .B2(_06606_),
     .X(_02355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10623_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
-    .A2(_06982_),
-    .B1(_06973_),
-    .B2(_05812_),
+ sky130_fd_sc_hd__a22o_1 _09998_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
+    .A2(_06603_),
+    .B1(_06597_),
+    .B2(_05544_),
     .X(_02354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10624_ (.A(_05797_),
-    .Y(_06985_),
+ sky130_fd_sc_hd__inv_2 _09999_ (.A(_05530_),
+    .Y(_06609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10625_ (.A(_06985_),
-    .X(_06986_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10000_ (.A(_06609_),
+    .X(_06610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 _10626_ (.A(_06986_),
+ sky130_fd_sc_hd__buf_6 _10001_ (.A(_06610_),
     .X(_00228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10627_ (.A1(\u_uart_core.u_clk_ctl.low_count[10] ),
-    .A2(_05804_),
+ sky130_fd_sc_hd__a22o_1 _10002_ (.A1(\u_uart_core.u_clk_ctl.low_count[10] ),
+    .A2(_05537_),
     .B1(_00105_),
     .B2(_00228_),
     .X(_02353_),
@@ -199297,1663 +197546,1597 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10628_ (.A(_05798_),
-    .X(_06987_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10003_ (.A(_05531_),
+    .X(_06611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10629_ (.A(_06986_),
-    .X(_06988_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10004_ (.A(_06610_),
+    .X(_06612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10630_ (.A1(\u_uart_core.u_clk_ctl.low_count[9] ),
-    .A2(_06987_),
+ sky130_fd_sc_hd__a22o_1 _10005_ (.A1(\u_uart_core.u_clk_ctl.low_count[9] ),
+    .A2(_06611_),
     .B1(_00114_),
-    .B2(_06988_),
+    .B2(_06612_),
     .X(_02352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10631_ (.A1(\u_uart_core.u_clk_ctl.low_count[8] ),
-    .A2(_06987_),
+ sky130_fd_sc_hd__a22o_1 _10006_ (.A1(\u_uart_core.u_clk_ctl.low_count[8] ),
+    .A2(_06611_),
     .B1(_00113_),
-    .B2(_06988_),
+    .B2(_06612_),
     .X(_02351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10632_ (.A1(\u_uart_core.u_clk_ctl.low_count[7] ),
-    .A2(_06987_),
+ sky130_fd_sc_hd__a22o_1 _10007_ (.A1(\u_uart_core.u_clk_ctl.low_count[7] ),
+    .A2(_06611_),
     .B1(_00112_),
-    .B2(_06988_),
+    .B2(_06612_),
     .X(_02350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10633_ (.A1(\u_uart_core.u_clk_ctl.low_count[6] ),
-    .A2(_06987_),
+ sky130_fd_sc_hd__a22o_1 _10008_ (.A1(\u_uart_core.u_clk_ctl.low_count[6] ),
+    .A2(_06611_),
     .B1(_00111_),
-    .B2(_06988_),
+    .B2(_06612_),
     .X(_02349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10634_ (.A(_05798_),
-    .X(_06989_),
+ sky130_fd_sc_hd__clkbuf_2 _10009_ (.A(_05531_),
+    .X(_06613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10635_ (.A(_06985_),
-    .X(_06990_),
+ sky130_fd_sc_hd__clkbuf_2 _10010_ (.A(_06609_),
+    .X(_06614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10636_ (.A1(\u_uart_core.u_clk_ctl.low_count[5] ),
-    .A2(_06989_),
+ sky130_fd_sc_hd__a22o_1 _10011_ (.A1(\u_uart_core.u_clk_ctl.low_count[5] ),
+    .A2(_06613_),
     .B1(_00110_),
-    .B2(_06990_),
+    .B2(_06614_),
     .X(_02348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10637_ (.A1(\u_uart_core.u_clk_ctl.low_count[4] ),
-    .A2(_06989_),
+ sky130_fd_sc_hd__a22o_1 _10012_ (.A1(\u_uart_core.u_clk_ctl.low_count[4] ),
+    .A2(_06613_),
     .B1(_00109_),
-    .B2(_06990_),
+    .B2(_06614_),
     .X(_02347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10638_ (.A1(\u_uart_core.u_clk_ctl.low_count[3] ),
-    .A2(_06989_),
+ sky130_fd_sc_hd__a22o_1 _10013_ (.A1(\u_uart_core.u_clk_ctl.low_count[3] ),
+    .A2(_06613_),
     .B1(_00108_),
-    .B2(_06990_),
+    .B2(_06614_),
     .X(_02346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10639_ (.A1(\u_uart_core.u_clk_ctl.low_count[2] ),
-    .A2(_06989_),
+ sky130_fd_sc_hd__a22o_1 _10014_ (.A1(\u_uart_core.u_clk_ctl.low_count[2] ),
+    .A2(_06613_),
     .B1(_00107_),
-    .B2(_06990_),
+    .B2(_06614_),
     .X(_02345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10640_ (.A1(\u_uart_core.u_clk_ctl.low_count[1] ),
-    .A2(_05799_),
+ sky130_fd_sc_hd__a22o_1 _10015_ (.A1(\u_uart_core.u_clk_ctl.low_count[1] ),
+    .A2(_05532_),
     .B1(_00106_),
-    .B2(_06986_),
+    .B2(_06610_),
     .X(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10641_ (.A1(\u_uart_core.u_clk_ctl.low_count[0] ),
-    .A2(_05799_),
+ sky130_fd_sc_hd__a22o_1 _10016_ (.A1(\u_uart_core.u_clk_ctl.low_count[0] ),
+    .A2(_05532_),
     .B1(_00104_),
-    .B2(_06986_),
+    .B2(_06610_),
     .X(_02343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10642_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
-    .Y(_06991_),
+ sky130_fd_sc_hd__inv_2 _10017_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
+    .Y(_06615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10643_ (.A(_06991_),
-    .X(_06992_),
+ sky130_fd_sc_hd__clkbuf_1 _10018_ (.A(_06615_),
+    .X(_06616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10644_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
-    .Y(_06993_),
+ sky130_fd_sc_hd__inv_2 _10019_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
+    .Y(_06617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10645_ (.A(_06992_),
-    .B(_06993_),
-    .Y(_06994_),
+ sky130_fd_sc_hd__nor2_1 _10020_ (.A(_06616_),
+    .B(_06617_),
+    .Y(_06618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10646_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+ sky130_fd_sc_hd__and3_1 _10021_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
-    .C(_06994_),
-    .X(_06995_),
+    .C(_06618_),
+    .X(_06619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_2 _10647_ (.A(_06390_),
-    .B(_06995_),
-    .Y(_06996_),
+ sky130_fd_sc_hd__nand2_1 _10022_ (.A(_06072_),
+    .B(_06619_),
+    .Y(_06620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10648_ (.A(_06996_),
-    .X(_06997_),
+ sky130_fd_sc_hd__clkbuf_2 _10023_ (.A(_06620_),
+    .X(_06621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10649_ (.A(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
-    .Y(_06998_),
+ sky130_fd_sc_hd__inv_2 _10024_ (.A(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
+    .Y(_06622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10650_ (.A(_06996_),
-    .Y(_06999_),
+ sky130_fd_sc_hd__inv_2 _10025_ (.A(_06620_),
+    .Y(_06623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10651_ (.A(_06999_),
-    .X(_07000_),
+ sky130_fd_sc_hd__clkbuf_2 _10026_ (.A(_06623_),
+    .X(_06624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10652_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
-    .A2(_06997_),
-    .B1(_06998_),
-    .B2(_07000_),
+ sky130_fd_sc_hd__a22o_1 _10027_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
+    .A2(_06621_),
+    .B1(_06622_),
+    .B2(_06624_),
     .X(_02342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10653_ (.A(_06389_),
-    .X(_07001_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10028_ (.A(_06071_),
+    .X(_06625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10654_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[3] ),
-    .A2(_06391_),
+ sky130_fd_sc_hd__o22a_1 _10029_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[3] ),
+    .A2(_06073_),
     .B1(_00122_),
-    .B2(_07001_),
+    .B2(_06625_),
     .X(_02341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10655_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
-    .X(_07002_),
+ sky130_fd_sc_hd__nand2_1 _10030_ (.A(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .B(_06618_),
+    .Y(_06626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10656_ (.A(_07002_),
-    .X(_07003_),
+ sky130_fd_sc_hd__o21ai_2 _10031_ (.A1(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .A2(_06618_),
+    .B1(_06626_),
+    .Y(_00181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10657_ (.A(\u_uart_core.u_txfifo.wr_ptr[2] ),
-    .X(_07004_),
+ sky130_fd_sc_hd__inv_2 _10032_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .Y(_06627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10658_ (.A(_07004_),
-    .X(_07005_),
+ sky130_fd_sc_hd__clkbuf_2 _10033_ (.A(_06627_),
+    .X(_06628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10659_ (.A(\u_uart_core.u_txfifo.wr_ptr[2] ),
-    .B(_06994_),
-    .Y(_07006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _10660_ (.A1(_07005_),
-    .A2(_06994_),
-    .B1(_07006_),
-    .Y(_00189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10661_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
-    .Y(_07007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10662_ (.A(_07007_),
-    .X(_07008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10663_ (.A1(_07008_),
-    .A2(_07006_),
-    .B1(_06995_),
+ sky130_fd_sc_hd__a21oi_1 _10034_ (.A1(_06628_),
+    .A2(_06626_),
+    .B1(_06619_),
     .Y(_00363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10664_ (.A(_00363_),
-    .Y(_00188_),
+ sky130_fd_sc_hd__inv_2 _10035_ (.A(_00363_),
+    .Y(_00180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10665_ (.A(_00189_),
-    .Y(_07009_),
+ sky130_fd_sc_hd__inv_2 _10036_ (.A(_00181_),
+    .Y(_06629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10666_ (.A1(_07003_),
-    .A2(_00189_),
-    .B1(_00188_),
-    .B2(_07009_),
-    .C1(_06390_),
-    .X(_07010_),
+ sky130_fd_sc_hd__o221a_1 _10037_ (.A1(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .A2(_00181_),
+    .B1(_00180_),
+    .B2(_06629_),
+    .C1(_06072_),
+    .X(_06630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ba_1 _10667_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[2] ),
+ sky130_fd_sc_hd__o21ba_1 _10038_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[2] ),
     .A2(\u_uart_core.tx_fifo_wr_en ),
-    .B1_N(_07010_),
+    .B1_N(_06630_),
     .X(_02340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10668_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[1] ),
-    .A2(_06391_),
+ sky130_fd_sc_hd__o22a_1 _10039_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[1] ),
+    .A2(_06073_),
     .B1(_00120_),
-    .B2(_07001_),
+    .B2(_06625_),
     .X(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10669_ (.A(_06992_),
-    .X(_07011_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10040_ (.A(_06616_),
+    .X(_06631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10670_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[0] ),
-    .A2(_06389_),
-    .B1(_07011_),
+ sky130_fd_sc_hd__a22o_1 _10041_ (.A1(\u_uart_core.u_txfifo.grey_wr_ptr[0] ),
+    .A2(_06625_),
+    .B1(_06631_),
     .B2(\u_uart_core.tx_fifo_wr_en ),
     .X(_02338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10671_ (.A(_06389_),
-    .B(_07006_),
-    .X(_07012_),
+ sky130_fd_sc_hd__or2_1 _10042_ (.A(_06071_),
+    .B(_06626_),
+    .X(_06632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10672_ (.A1(_07008_),
-    .A2(_07012_),
-    .B1(_07000_),
+ sky130_fd_sc_hd__a21oi_1 _10043_ (.A1(_06628_),
+    .A2(_06632_),
+    .B1(_06623_),
     .Y(_02337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10673_ (.A1(_07001_),
-    .A2(_07009_),
-    .B1(_07005_),
+ sky130_fd_sc_hd__o22a_1 _10044_ (.A1(_06625_),
+    .A2(_06629_),
+    .B1(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .B2(\u_uart_core.tx_fifo_wr_en ),
     .X(_02336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10674_ (.A(_06993_),
-    .X(_07013_),
+ sky130_fd_sc_hd__clkbuf_1 _10045_ (.A(_06617_),
+    .X(_06633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10675_ (.A(_07013_),
-    .B(_06387_),
-    .Y(_07014_),
+ sky130_fd_sc_hd__nor2_1 _10046_ (.A(_06633_),
+    .B(_06069_),
+    .Y(_06634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10676_ (.A(_07011_),
-    .B(_07014_),
-    .Y(_07015_),
+ sky130_fd_sc_hd__nand2_1 _10047_ (.A(_06631_),
+    .B(_06634_),
+    .Y(_06635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10677_ (.A(_07015_),
-    .X(_07016_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10048_ (.A(_06635_),
+    .X(_06636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10678_ (.A1(_07011_),
-    .A2(_07014_),
-    .B1(_07016_),
+ sky130_fd_sc_hd__o21ai_1 _10049_ (.A1(_06631_),
+    .A2(_06634_),
+    .B1(_06636_),
     .Y(_02335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10679_ (.A1(_07013_),
-    .A2(_07001_),
-    .B1(_07014_),
+ sky130_fd_sc_hd__a21oi_1 _10050_ (.A1(_06633_),
+    .A2(_06071_),
+    .B1(_06634_),
     .Y(_02334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10680_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(_07017_),
+ sky130_fd_sc_hd__inv_2 _10051_ (.A(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .Y(_06637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10681_ (.A(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .Y(_07018_),
+ sky130_fd_sc_hd__clkbuf_1 _10052_ (.A(_06637_),
+    .X(_06638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10682_ (.A(_07018_),
-    .X(_07019_),
+ sky130_fd_sc_hd__inv_2 _10053_ (.A(\u_uart_core.tx_fifo_rd ),
+    .Y(_06639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10683_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_07020_),
+ sky130_fd_sc_hd__inv_2 _10054_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .Y(_06640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10684_ (.A(\u_uart_core.tx_fifo_rd ),
-    .Y(_07021_),
+ sky130_fd_sc_hd__nor2_1 _10055_ (.A(_06639_),
+    .B(_06640_),
+    .Y(_06641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10685_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .Y(_07022_),
+ sky130_fd_sc_hd__nand2_1 _10056_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .B(_06641_),
+    .Y(_06642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10686_ (.A(_07022_),
-    .X(_07023_),
+ sky130_fd_sc_hd__nor2_1 _10057_ (.A(_06638_),
+    .B(_06642_),
+    .Y(_06643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10687_ (.A(_07021_),
-    .B(_07023_),
-    .Y(_07024_),
+ sky130_fd_sc_hd__inv_2 _10058_ (.A(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
+    .Y(_06644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10688_ (.A(_07020_),
-    .B(_07024_),
-    .Y(_07025_),
+ sky130_fd_sc_hd__nand2_1 _10059_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .B(_06643_),
+    .Y(_06645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10689_ (.A(_07019_),
-    .B(_07025_),
-    .Y(_07026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10690_ (.A(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
-    .Y(_07027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10691_ (.A(_07017_),
-    .B(_07026_),
-    .Y(_07028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10692_ (.A1(_07017_),
-    .A2(_07026_),
-    .A3(_07027_),
+ sky130_fd_sc_hd__a32o_1 _10060_ (.A1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .A2(_06643_),
+    .A3(_06644_),
     .B1(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
-    .B2(_07028_),
+    .B2(_06645_),
     .X(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10693_ (.A1(_07017_),
-    .A2(_07026_),
-    .B1(_07028_),
+ sky130_fd_sc_hd__o21a_1 _10061_ (.A1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .A2(_06643_),
+    .B1(_06645_),
     .X(_02332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10694_ (.A1(_07019_),
-    .A2(_07025_),
-    .B1(_07026_),
+ sky130_fd_sc_hd__a21oi_1 _10062_ (.A1(_06638_),
+    .A2(_06642_),
+    .B1(_06643_),
     .Y(_02331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10695_ (.A1(_07020_),
-    .A2(_07024_),
-    .B1(_07025_),
+ sky130_fd_sc_hd__o21a_1 _10063_ (.A1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .A2(_06641_),
+    .B1(_06642_),
     .X(_02330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10696_ (.A(_07021_),
-    .X(_07029_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10064_ (.A(_06640_),
+    .X(_06646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _10697_ (.A1(_07029_),
-    .A2(_07023_),
-    .B1(_07024_),
+ sky130_fd_sc_hd__a21oi_1 _10065_ (.A1(_06639_),
+    .A2(_06646_),
+    .B1(_06641_),
     .Y(_02329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10698_ (.A1(_07029_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10066_ (.A(_06639_),
+    .X(_06647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _10067_ (.A1(_06647_),
     .A2(_00123_),
-    .B1(_06373_),
+    .B1(\u_uart_core.tx_fifo_rd ),
     .B2(\u_uart_core.u_txfifo.grey_rd_ptr[3] ),
     .X(_02328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10699_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .Y(_07030_),
+ sky130_fd_sc_hd__inv_2 _10068_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .Y(_06648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10700_ (.A(_07030_),
-    .X(_07031_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10069_ (.A(_06648_),
+    .X(_06649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10701_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .Y(_07032_),
+ sky130_fd_sc_hd__inv_2 _10070_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .Y(_06650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _10702_ (.A1(_07032_),
-    .A2(_07022_),
-    .B1(_07018_),
-    .Y(_07033_),
+ sky130_fd_sc_hd__o21ai_2 _10071_ (.A1(_06650_),
+    .A2(_06646_),
+    .B1(_06637_),
+    .Y(_06651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10703_ (.A(_07033_),
-    .Y(_07034_),
+ sky130_fd_sc_hd__inv_2 _10072_ (.A(_06651_),
+    .Y(_06652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10704_ (.A1(_07031_),
-    .A2(_07034_),
-    .B1(_07017_),
-    .B2(_07033_),
-    .C1(_07021_),
-    .X(_07035_),
+ sky130_fd_sc_hd__a221o_1 _10073_ (.A1(_06649_),
+    .A2(_06652_),
+    .B1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .B2(_06651_),
+    .C1(_06639_),
+    .X(_06653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _10705_ (.A1(_07029_),
+ sky130_fd_sc_hd__a21bo_1 _10074_ (.A1(_06647_),
     .A2(\u_uart_core.u_txfifo.grey_rd_ptr[2] ),
-    .B1_N(_07035_),
+    .B1_N(_06653_),
     .X(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10706_ (.A1(_07029_),
+ sky130_fd_sc_hd__o22a_1 _10075_ (.A1(_06647_),
     .A2(_00121_),
-    .B1(_06373_),
+    .B1(\u_uart_core.tx_fifo_rd ),
     .B2(\u_uart_core.u_txfifo.grey_rd_ptr[1] ),
     .X(_02326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10707_ (.A1(_07021_),
-    .A2(_07032_),
-    .B1(_06373_),
+ sky130_fd_sc_hd__o22a_1 _10076_ (.A1(_06647_),
+    .A2(_06650_),
+    .B1(\u_uart_core.tx_fifo_rd ),
     .B2(\u_uart_core.u_txfifo.grey_rd_ptr[0] ),
     .X(_02325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10708_ (.A(_00034_),
-    .X(_07036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10709_ (.A(_05614_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10077_ (.A(_05375_),
     .X(_00226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10710_ (.A(\u_i2cm.cr[7] ),
-    .X(_07037_),
+ sky130_fd_sc_hd__inv_2 _10078_ (.A(_00034_),
+    .Y(_06654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10711_ (.A(_00034_),
-    .Y(_07038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10712_ (.A1(_05624_),
-    .A2(_07036_),
+ sky130_fd_sc_hd__a32o_1 _10079_ (.A1(net39),
+    .A2(_00034_),
     .A3(_00226_),
-    .B1(_07037_),
-    .B2(_07038_),
+    .B1(\u_i2cm.cr[7] ),
+    .B2(_06654_),
     .X(_02324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10713_ (.A(\u_i2cm.cr[6] ),
-    .X(_07039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10714_ (.A1(_05628_),
-    .A2(_07036_),
+ sky130_fd_sc_hd__a32o_1 _10080_ (.A1(net38),
+    .A2(_00034_),
     .A3(_00226_),
-    .B1(_07038_),
-    .B2(_07039_),
+    .B1(_06654_),
+    .B2(\u_i2cm.cr[6] ),
     .X(_02323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10715_ (.A(\u_i2cm.cr[5] ),
-    .X(_07040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10716_ (.A1(_05630_),
-    .A2(_07036_),
+ sky130_fd_sc_hd__a32o_1 _10081_ (.A1(net37),
+    .A2(_00034_),
     .A3(_00226_),
-    .B1(_07038_),
-    .B2(_07040_),
+    .B1(_06654_),
+    .B2(\u_i2cm.cr[5] ),
     .X(_02322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10717_ (.A(_05614_),
-    .X(_07041_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10082_ (.A(_05375_),
+    .X(_06655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10718_ (.A1(_05632_),
-    .A2(_07036_),
-    .A3(_07041_),
-    .B1(_07038_),
+ sky130_fd_sc_hd__a32o_1 _10083_ (.A1(net36),
+    .A2(_00034_),
+    .A3(_06655_),
+    .B1(_06654_),
     .B2(\u_i2cm.cr[4] ),
     .X(_02321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10719_ (.A(_05611_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10084_ (.A(_05372_),
     .X(_00225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10720_ (.A(net32),
-    .X(_07042_),
+ sky130_fd_sc_hd__nor2_1 _10085_ (.A(_05372_),
+    .B(_05374_),
+    .Y(_06656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10721_ (.A(_07042_),
-    .X(_07043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10722_ (.A(_05611_),
-    .B(_05613_),
-    .Y(_07044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10723_ (.A1(_00225_),
-    .A2(_07041_),
-    .A3(_07043_),
+ sky130_fd_sc_hd__a32o_1 _10086_ (.A1(_00225_),
+    .A2(_06655_),
+    .A3(net32),
     .B1(\u_i2cm.cr[2] ),
-    .B2(_07044_),
+    .B2(_06656_),
     .X(_02320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10724_ (.A(net25),
-    .X(_07045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10725_ (.A(_07045_),
-    .X(_07046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10726_ (.A1(_00225_),
-    .A2(_07041_),
-    .A3(_07046_),
+ sky130_fd_sc_hd__a32o_1 _10087_ (.A1(_00225_),
+    .A2(_06655_),
+    .A3(net25),
     .B1(\u_i2cm.cr[1] ),
-    .B2(_07044_),
+    .B2(_06656_),
     .X(_02319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10727_ (.A(net14),
-    .X(_07047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10728_ (.A(_07047_),
-    .X(_07048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _10729_ (.A1(_00225_),
-    .A2(_07041_),
-    .A3(_07048_),
+ sky130_fd_sc_hd__a32o_1 _10088_ (.A1(_00225_),
+    .A2(_06655_),
+    .A3(net14),
     .B1(\u_i2cm.cr[0] ),
-    .B2(_07044_),
+    .B2(_06656_),
     .X(_02318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10730_ (.A(\u_usb_host.u_phy.rx_dn1_q ),
+ sky130_fd_sc_hd__or2_1 _10089_ (.A(\u_usb_host.u_phy.rx_dn1_q ),
     .B(\u_usb_host.u_phy.rx_dn0_q ),
-    .X(_07049_),
+    .X(_06657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10731_ (.A1(\u_usb_host.u_phy.rx_dn1_q ),
+ sky130_fd_sc_hd__a22o_1 _10090_ (.A1(\u_usb_host.u_phy.rx_dn1_q ),
     .A2(\u_usb_host.u_phy.rx_dn0_q ),
     .B1(\u_usb_host.u_phy.rx_dn_q ),
-    .B2(_07049_),
+    .B2(_06657_),
     .X(_02317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10732_ (.A(\u_usb_host.u_core.u_sie.next_state_r[1] ),
-    .Y(_07050_),
+ sky130_fd_sc_hd__inv_2 _10091_ (.A(\u_usb_host.u_core.u_sie.next_state_r[1] ),
+    .Y(_06658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10733_ (.A(\u_usb_host.u_core.u_sie.next_state_r[3] ),
-    .Y(_07051_),
+ sky130_fd_sc_hd__inv_2 _10092_ (.A(\u_usb_host.u_core.u_sie.next_state_r[3] ),
+    .Y(_06659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a41o_1 _10734_ (.A1(\u_usb_host.u_core.u_sie.next_state_r[0] ),
-    .A2(_07050_),
-    .A3(_07051_),
+ sky130_fd_sc_hd__a41o_1 _10093_ (.A1(\u_usb_host.u_core.u_sie.next_state_r[0] ),
+    .A2(_06658_),
+    .A3(_06659_),
     .A4(\u_usb_host.u_core.u_sie.next_state_r[2] ),
-    .B1(_06480_),
-    .X(_07052_),
+    .B1(_06160_),
+    .X(_06660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10735_ (.A(_06415_),
-    .X(_00205_),
+ sky130_fd_sc_hd__or4_4 _10094_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .B(_00199_),
+    .C(_00206_),
+    .D(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .X(_06661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10736_ (.A(_00205_),
-    .B(_06476_),
-    .C(_06419_),
-    .D(_06490_),
-    .X(_07053_),
+ sky130_fd_sc_hd__inv_2 _10095_ (.A(\u_usb_host.u_core.u_sie.next_state_r[2] ),
+    .Y(_06662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10737_ (.A(\u_usb_host.u_core.u_sie.next_state_r[2] ),
-    .Y(_07054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _10738_ (.A(\u_usb_host.u_core.u_sie.next_state_r[3] ),
-    .B(_07054_),
+ sky130_fd_sc_hd__and4_1 _10096_ (.A(\u_usb_host.u_core.u_sie.next_state_r[3] ),
+    .B(_06662_),
     .C(\u_usb_host.u_core.u_sie.next_state_r[0] ),
-    .D(_07050_),
-    .X(_07055_),
+    .D(_06658_),
+    .X(_06663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10739_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
-    .Y(_07056_),
+ sky130_fd_sc_hd__inv_2 _10097_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
+    .Y(_06664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10740_ (.A1(_06482_),
+ sky130_fd_sc_hd__o221a_1 _10098_ (.A1(_05631_),
     .A2(\u_usb_host.u_core.u_sie.rx_active_q[3] ),
-    .B1(_07053_),
-    .B2(_07055_),
-    .C1(_07056_),
-    .X(_07057_),
+    .B1(_06661_),
+    .B2(_06663_),
+    .C1(_06664_),
+    .X(_06665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10741_ (.A(\u_usb_host.u_core.u_sie.next_state_r[0] ),
-    .Y(_07058_),
+ sky130_fd_sc_hd__inv_2 _10099_ (.A(\u_usb_host.u_core.u_sie.next_state_r[0] ),
+    .Y(_06666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _10742_ (.A(_06487_),
-    .B(_06490_),
-    .C(_06485_),
-    .D(_06476_),
-    .X(_07059_),
+ sky130_fd_sc_hd__or3_2 _10100_ (.A(_06164_),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .C(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .X(_06667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10743_ (.A(_07059_),
-    .X(_07060_),
+ sky130_fd_sc_hd__or2_1 _10101_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .B(_06667_),
+    .X(_06668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a41o_1 _10744_ (.A1(\u_usb_host.u_core.u_sie.next_state_r[3] ),
-    .A2(_07054_),
-    .A3(_07058_),
-    .A4(_07050_),
-    .B1(_07060_),
-    .X(_07061_),
+ sky130_fd_sc_hd__buf_2 _10102_ (.A(_06668_),
+    .X(_06669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10745_ (.A1(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+ sky130_fd_sc_hd__a41o_1 _10103_ (.A1(\u_usb_host.u_core.u_sie.next_state_r[3] ),
+    .A2(_06662_),
+    .A3(_06666_),
+    .A4(_06658_),
+    .B1(_06669_),
+    .X(_06670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _10104_ (.A1(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
     .A2(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
     .B1(\u_usb_host.u_core.u_sie.se0_detect_q ),
-    .X(_07062_),
+    .X(_06671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31oi_1 _10746_ (.A1(_07052_),
-    .A2(_07057_),
-    .A3(_07061_),
-    .B1(_07062_),
+ sky130_fd_sc_hd__a31oi_1 _10105_ (.A1(_06660_),
+    .A2(_06665_),
+    .A3(_06670_),
+    .B1(_06671_),
     .Y(_02316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _10747_ (.A(_06454_),
-    .B(_06477_),
-    .C(_06484_),
-    .D(_06486_),
-    .X(_07063_),
+ sky130_fd_sc_hd__clkbuf_1 _10106_ (.A(_06158_),
+    .X(_06672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10748_ (.A(_06421_),
-    .B(_07063_),
-    .X(_07064_),
+ sky130_fd_sc_hd__or4_2 _10107_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B(_06672_),
+    .C(_06163_),
+    .D(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .X(_06673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10749_ (.A(_07064_),
-    .Y(_07065_),
+ sky130_fd_sc_hd__or2_2 _10108_ (.A(_06104_),
+    .B(_06673_),
+    .X(_06674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10750_ (.A(_07065_),
+ sky130_fd_sc_hd__inv_2 _10109_ (.A(_06674_),
+    .Y(_06675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _10110_ (.A(_06675_),
     .X(_00165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10751_ (.A(\u_usb_host.u_core.u_sie.token_q[13] ),
+ sky130_fd_sc_hd__inv_2 _10111_ (.A(\u_usb_host.u_core.u_sie.token_q[13] ),
     .Y(_00500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10752_ (.A(\u_usb_host.u_core.u_sie.token_q[15] ),
+ sky130_fd_sc_hd__inv_2 _10112_ (.A(\u_usb_host.u_core.u_sie.token_q[15] ),
     .Y(_00470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _10753_ (.A1_N(_00470_),
+ sky130_fd_sc_hd__a2bb2o_1 _10113_ (.A1_N(_00470_),
     .A2_N(\u_usb_host.u_core.u_sie.token_q[14] ),
     .B1(_00470_),
     .B2(\u_usb_host.u_core.u_sie.token_q[14] ),
-    .X(_07066_),
+    .X(_06676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10754_ (.A(_07066_),
-    .Y(_07067_),
+ sky130_fd_sc_hd__inv_2 _10114_ (.A(_06676_),
+    .Y(_06677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10755_ (.A1(_00500_),
-    .A2(_07067_),
+ sky130_fd_sc_hd__o22a_1 _10115_ (.A1(_00500_),
+    .A2(_06677_),
     .B1(\u_usb_host.u_core.u_sie.token_q[13] ),
-    .B2(_07066_),
-    .X(_07068_),
+    .B2(_06676_),
+    .X(_06678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10756_ (.A(\u_usb_host.u_core.u_sie.token_q[10] ),
-    .Y(_07069_),
+ sky130_fd_sc_hd__inv_2 _10116_ (.A(\u_usb_host.u_core.u_sie.token_q[10] ),
+    .Y(_06679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10757_ (.A(\u_usb_host.u_core.u_sie.token_q[9] ),
+ sky130_fd_sc_hd__clkinv_4 _10117_ (.A(\u_usb_host.u_core.u_sie.token_q[9] ),
     .Y(_00559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10758_ (.A1(_07069_),
-    .A2(_06338_),
+ sky130_fd_sc_hd__o22a_1 _10118_ (.A1(_06679_),
+    .A2(\u_usb_host.u_core.u_sie.token_q[9] ),
     .B1(\u_usb_host.u_core.u_sie.token_q[10] ),
     .B2(_00559_),
-    .X(_07070_),
+    .X(_06680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10759_ (.A(_07068_),
-    .Y(_07071_),
+ sky130_fd_sc_hd__inv_2 _10119_ (.A(_06678_),
+    .Y(_06681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10760_ (.A(_07070_),
-    .Y(_07072_),
+ sky130_fd_sc_hd__inv_2 _10120_ (.A(_06680_),
+    .Y(_06682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10761_ (.A1(_07068_),
-    .A2(_07070_),
-    .B1(_07071_),
-    .B2(_07072_),
+ sky130_fd_sc_hd__a221o_1 _10121_ (.A1(_06678_),
+    .A2(_06680_),
+    .B1(_06681_),
+    .B2(_06682_),
     .C1(\u_usb_host.u_core.u_sie.token_q[7] ),
-    .X(_07073_),
+    .X(_06683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10762_ (.A(\u_usb_host.u_core.u_sie.token_q[7] ),
+ sky130_fd_sc_hd__inv_2 _10122_ (.A(\u_usb_host.u_core.u_sie.token_q[7] ),
     .Y(_00471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10763_ (.A1(_07071_),
-    .A2(_07070_),
-    .B1(_07068_),
-    .B2(_07072_),
+ sky130_fd_sc_hd__a221o_1 _10123_ (.A1(_06681_),
+    .A2(_06680_),
+    .B1(_06678_),
+    .B2(_06682_),
     .C1(_00471_),
-    .X(_07074_),
+    .X(_06684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10764_ (.A(_06013_),
-    .X(_07075_),
+ sky130_fd_sc_hd__clkbuf_1 _10124_ (.A(_06674_),
+    .X(_06685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10765_ (.A(_07064_),
-    .X(_07076_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10766_ (.A(_07075_),
-    .B(_07076_),
+ sky130_fd_sc_hd__and3_1 _10125_ (.A(_05715_),
+    .B(_06685_),
     .C(\u_usb_host.u_core.u_sie.token_q[4] ),
-    .X(_07077_),
+    .X(_06686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10767_ (.A1(_00165_),
-    .A2(_07073_),
-    .A3(_07074_),
-    .B1(_07077_),
+ sky130_fd_sc_hd__a31o_1 _10126_ (.A1(_00165_),
+    .A2(_06683_),
+    .A3(_06684_),
+    .B1(_06686_),
     .X(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10768_ (.A(\u_usb_host.u_core.u_sie.token_q[12] ),
-    .Y(_07078_),
+ sky130_fd_sc_hd__inv_2 _10127_ (.A(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .Y(_06687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10769_ (.A1(\u_usb_host.u_core.u_sie.token_q[12] ),
-    .A2(_07071_),
-    .B1(_07078_),
-    .B2(_07068_),
-    .X(_07079_),
+ sky130_fd_sc_hd__o22a_1 _10128_ (.A1(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .A2(_06681_),
+    .B1(_06687_),
+    .B2(_06678_),
+    .X(_06688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10770_ (.A(_07079_),
-    .X(_07080_),
+ sky130_fd_sc_hd__clkbuf_1 _10129_ (.A(_06688_),
+    .X(_06689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10771_ (.A(\u_usb_host.u_core.u_sie.token_q[8] ),
+ sky130_fd_sc_hd__inv_2 _10130_ (.A(\u_usb_host.u_core.u_sie.token_q[8] ),
     .Y(_00573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10772_ (.A1(_00559_),
+ sky130_fd_sc_hd__o22a_1 _10131_ (.A1(_00559_),
     .A2(\u_usb_host.u_core.u_sie.token_q[8] ),
-    .B1(_06338_),
+    .B1(\u_usb_host.u_core.u_sie.token_q[9] ),
     .B2(_00573_),
-    .X(_07081_),
+    .X(_06690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10773_ (.A(_07079_),
-    .Y(_07082_),
+ sky130_fd_sc_hd__inv_2 _10132_ (.A(_06688_),
+    .Y(_06691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10774_ (.A(_07081_),
-    .Y(_07083_),
+ sky130_fd_sc_hd__inv_2 _10133_ (.A(_06690_),
+    .Y(_06692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10775_ (.A1(_07080_),
-    .A2(_07081_),
-    .B1(_07082_),
-    .B2(_07083_),
+ sky130_fd_sc_hd__a221o_1 _10134_ (.A1(_06689_),
+    .A2(_06690_),
+    .B1(_06691_),
+    .B2(_06692_),
     .C1(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .X(_07084_),
+    .X(_06693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10776_ (.A(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .Y(_07085_),
+ sky130_fd_sc_hd__inv_2 _10135_ (.A(\u_usb_host.u_core.u_sie.token_q[6] ),
+    .Y(_06694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10777_ (.A1(_07082_),
-    .A2(_07081_),
-    .B1(_07080_),
-    .B2(_07083_),
-    .C1(_07085_),
-    .X(_07086_),
+ sky130_fd_sc_hd__a221o_1 _10136_ (.A1(_06691_),
+    .A2(_06690_),
+    .B1(_06689_),
+    .B2(_06692_),
+    .C1(_06694_),
+    .X(_06695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10778_ (.A(_07075_),
-    .B(_07076_),
+ sky130_fd_sc_hd__and3_1 _10137_ (.A(_05715_),
+    .B(_06685_),
     .C(\u_usb_host.u_core.u_sie.token_q[3] ),
-    .X(_07087_),
+    .X(_06696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10779_ (.A1(_00165_),
-    .A2(_07084_),
-    .A3(_07086_),
-    .B1(_07087_),
+ sky130_fd_sc_hd__a31o_1 _10138_ (.A1(_00165_),
+    .A2(_06693_),
+    .A3(_06695_),
+    .B1(_06696_),
     .X(_02314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10780_ (.A(\u_usb_host.u_core.u_sie.token_q[11] ),
+ sky130_fd_sc_hd__inv_2 _10139_ (.A(\u_usb_host.u_core.u_sie.token_q[11] ),
     .Y(_00530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10781_ (.A1(\u_usb_host.u_core.u_sie.token_q[11] ),
+ sky130_fd_sc_hd__o22a_1 _10140_ (.A1(\u_usb_host.u_core.u_sie.token_q[11] ),
     .A2(\u_usb_host.u_core.u_sie.token_q[8] ),
     .B1(_00530_),
     .B2(_00573_),
-    .X(_07088_),
+    .X(_06697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10782_ (.A(_07088_),
-    .Y(_07089_),
+ sky130_fd_sc_hd__inv_2 _10141_ (.A(_06697_),
+    .Y(_06698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10783_ (.A1(_00471_),
-    .A2(_07088_),
+ sky130_fd_sc_hd__o22a_1 _10142_ (.A1(_00471_),
+    .A2(_06697_),
     .B1(\u_usb_host.u_core.u_sie.token_q[7] ),
-    .B2(_07089_),
-    .X(_07090_),
+    .B2(_06698_),
+    .X(_06699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10784_ (.A(_07090_),
-    .Y(_07091_),
+ sky130_fd_sc_hd__inv_2 _10143_ (.A(_06699_),
+    .Y(_06700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10785_ (.A1(_07080_),
-    .A2(_07091_),
-    .B1(_07082_),
-    .B2(_07090_),
+ sky130_fd_sc_hd__a221o_1 _10144_ (.A1(_06689_),
+    .A2(_06700_),
+    .B1(_06691_),
+    .B2(_06699_),
     .C1(\u_usb_host.u_core.u_sie.token_q[5] ),
-    .X(_07092_),
+    .X(_06701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10786_ (.A(\u_usb_host.u_core.u_sie.token_q[5] ),
+ sky130_fd_sc_hd__inv_2 _10145_ (.A(\u_usb_host.u_core.u_sie.token_q[5] ),
     .Y(_00501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10787_ (.A1(_07080_),
-    .A2(_07090_),
-    .B1(_07082_),
-    .B2(_07091_),
+ sky130_fd_sc_hd__a221o_1 _10146_ (.A1(_06689_),
+    .A2(_06699_),
+    .B1(_06691_),
+    .B2(_06700_),
     .C1(_00501_),
-    .X(_07093_),
+    .X(_06702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10788_ (.A(_07075_),
-    .B(_07076_),
+ sky130_fd_sc_hd__and3_1 _10147_ (.A(_06019_),
+    .B(_06685_),
     .C(\u_usb_host.u_core.u_sie.token_q[2] ),
-    .X(_07094_),
+    .X(_06703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10789_ (.A1(_00165_),
-    .A2(_07092_),
-    .A3(_07093_),
-    .B1(_07094_),
+ sky130_fd_sc_hd__a31o_1 _10148_ (.A1(_00165_),
+    .A2(_06701_),
+    .A3(_06702_),
+    .B1(_06703_),
     .X(_02313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10790_ (.A1(_00470_),
+ sky130_fd_sc_hd__o22a_1 _10149_ (.A1(_00470_),
     .A2(\u_usb_host.u_core.u_sie.token_q[12] ),
     .B1(\u_usb_host.u_core.u_sie.token_q[15] ),
-    .B2(_07078_),
-    .X(_07095_),
+    .B2(_06687_),
+    .X(_06704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10791_ (.A1(_00530_),
-    .A2(_06338_),
+ sky130_fd_sc_hd__o22a_1 _10150_ (.A1(_00530_),
+    .A2(\u_usb_host.u_core.u_sie.token_q[9] ),
     .B1(\u_usb_host.u_core.u_sie.token_q[11] ),
     .B2(_00559_),
-    .X(_07096_),
+    .X(_06705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _10792_ (.A1_N(_07095_),
-    .A2_N(_07096_),
-    .B1(_07095_),
-    .B2(_07096_),
-    .X(_07097_),
+ sky130_fd_sc_hd__o2bb2a_1 _10151_ (.A1_N(_06704_),
+    .A2_N(_06705_),
+    .B1(_06704_),
+    .B2(_06705_),
+    .X(_06706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10793_ (.A(_07097_),
-    .Y(_07098_),
+ sky130_fd_sc_hd__inv_2 _10152_ (.A(_06706_),
+    .Y(_06707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10794_ (.A1(_07085_),
-    .A2(_07098_),
+ sky130_fd_sc_hd__o221a_1 _10153_ (.A1(_06694_),
+    .A2(_06707_),
     .B1(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .B2(_07097_),
-    .C1(_07065_),
-    .X(_07099_),
+    .B2(_06706_),
+    .C1(_06675_),
+    .X(_06708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10795_ (.A1(_06331_),
-    .A2(_07076_),
+ sky130_fd_sc_hd__a31o_1 _10154_ (.A1(_06015_),
+    .A2(_06685_),
     .A3(\u_usb_host.u_core.u_sie.token_q[1] ),
-    .B1(_07099_),
+    .B1(_06708_),
     .X(_02312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _10796_ (.A1(_07069_),
-    .A2(_07067_),
+ sky130_fd_sc_hd__o22a_1 _10155_ (.A1(_06679_),
+    .A2(_06677_),
     .B1(\u_usb_host.u_core.u_sie.token_q[10] ),
-    .B2(_07066_),
-    .X(_07100_),
+    .B2(_06676_),
+    .X(_06709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10797_ (.A(_07100_),
-    .Y(_07101_),
+ sky130_fd_sc_hd__inv_2 _10156_ (.A(_06709_),
+    .Y(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10798_ (.A1(_07101_),
-    .A2(_07088_),
-    .B1(_07100_),
-    .B2(_07089_),
+ sky130_fd_sc_hd__a221o_1 _10157_ (.A1(_06710_),
+    .A2(_06697_),
+    .B1(_06709_),
+    .B2(_06698_),
     .C1(\u_usb_host.u_core.u_sie.token_q[5] ),
-    .X(_07102_),
+    .X(_06711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _10799_ (.A1(_07101_),
-    .A2(_07089_),
-    .B1(_07100_),
-    .B2(_07088_),
+ sky130_fd_sc_hd__a221o_1 _10158_ (.A1(_06710_),
+    .A2(_06698_),
+    .B1(_06709_),
+    .B2(_06697_),
     .C1(_00501_),
-    .X(_07103_),
+    .X(_06712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10800_ (.A(_07075_),
-    .B(_07064_),
+ sky130_fd_sc_hd__and3_1 _10159_ (.A(_06019_),
+    .B(_06674_),
     .C(\u_usb_host.u_core.u_sie.token_q[0] ),
-    .X(_07104_),
+    .X(_06713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10801_ (.A1(_07065_),
-    .A2(_07102_),
-    .A3(_07103_),
-    .B1(_07104_),
+ sky130_fd_sc_hd__a31o_1 _10160_ (.A1(_06675_),
+    .A2(_06711_),
+    .A3(_06712_),
+    .B1(_06713_),
     .X(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4b_1 _10802_ (.A_N(\u_usb_host.u_phy.state_q[12] ),
-    .B(_06876_),
+ sky130_fd_sc_hd__and4b_1 _10161_ (.A_N(\u_usb_host.u_phy.state_q[12] ),
+    .B(_06513_),
     .C(\u_usb_host.u_phy.state_q[3] ),
-    .D(_06305_),
-    .X(_07105_),
+    .D(_05991_),
+    .X(_06714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10803_ (.A(_07105_),
+ sky130_fd_sc_hd__clkbuf_1 _10162_ (.A(_06714_),
     .X(_00803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31oi_1 _10804_ (.A1(_06842_),
-    .A2(_06878_),
+ sky130_fd_sc_hd__a31oi_1 _10163_ (.A1(_06480_),
+    .A2(_06515_),
     .A3(_00803_),
     .B1(\u_usb_host.out_tx_oen ),
-    .Y(_07106_),
+    .Y(_06715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _10805_ (.A(_06124_),
-    .B(_06139_),
+ sky130_fd_sc_hd__or4_1 _10164_ (.A(_05822_),
+    .B(_05837_),
     .C(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
     .D(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
-    .X(_07107_),
+    .X(_06716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _10806_ (.A(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
-    .B(_06169_),
+ sky130_fd_sc_hd__or4_2 _10165_ (.A(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
+    .B(_05866_),
     .C(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
-    .D(_07107_),
-    .X(_07108_),
+    .D(_06716_),
+    .X(_06717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10807_ (.A(_06328_),
-    .B(_06875_),
-    .C(_07108_),
-    .X(_07109_),
+ sky130_fd_sc_hd__inv_2 _10166_ (.A(_06717_),
+    .Y(_06718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21bai_1 _10808_ (.A1(_06852_),
-    .A2(_07106_),
-    .B1_N(_07109_),
+ sky130_fd_sc_hd__or3_1 _10167_ (.A(_06008_),
+    .B(_06511_),
+    .C(_06718_),
+    .X(_06719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_1 _10168_ (.A1(\u_usb_host.u_phy.state_q[0] ),
+    .A2(_06715_),
+    .B1(_06719_),
     .Y(_02310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10809_ (.A(\u_usb_host.u_core.status_timeout_w ),
-    .B(net191),
+ sky130_fd_sc_hd__or2_2 _10169_ (.A(\u_usb_host.u_core.status_timeout_w ),
+    .B(\u_usb_host.u_core.status_crc_err_w ),
+    .X(_06720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _10170_ (.A(_06720_),
     .X(_00126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10810_ (.A(_00126_),
-    .Y(_07110_),
+ sky130_fd_sc_hd__inv_2 _10171_ (.A(_00126_),
+    .Y(_06721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _10811_ (.A1(\u_usb_host.u_core.err_cond_q ),
-    .A2(_07110_),
-    .B1(_06174_),
+ sky130_fd_sc_hd__o22ai_1 _10172_ (.A1(\u_usb_host.u_core.err_cond_q ),
+    .A2(_06721_),
+    .B1(_05871_),
     .B2(\u_usb_host.u_core.usb_irq_ack_err_out_w ),
     .Y(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10812_ (.A(_06421_),
-    .X(_07111_),
+ sky130_fd_sc_hd__clkbuf_2 _10173_ (.A(_06104_),
+    .X(_06722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_2 _10813_ (.A1(_07111_),
-    .A2(_06874_),
-    .B1(_06013_),
-    .X(_07112_),
+ sky130_fd_sc_hd__o21a_2 _10174_ (.A1(_06722_),
+    .A2(_06512_),
+    .B1(_05714_),
+    .X(_06723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10814_ (.A(_07112_),
-    .X(_07113_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10175_ (.A(_06723_),
+    .X(_06724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10815_ (.A(_06469_),
-    .B(_07113_),
+ sky130_fd_sc_hd__and3_1 _10176_ (.A(_06152_),
+    .B(_06724_),
     .C(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
+    .X(_06725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _10177_ (.A(_06725_),
     .X(_02308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10816_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
-    .B(_06468_),
-    .X(_07114_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10178_ (.A(_06723_),
+    .X(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10817_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
-    .B(_06469_),
-    .Y(_07115_),
+ sky130_fd_sc_hd__or2_1 _10179_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
+    .B(_06151_),
+    .X(_06727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _10818_ (.A(_07112_),
-    .B(_07115_),
-    .X(_07116_),
+ sky130_fd_sc_hd__nor2_1 _10180_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
+    .B(_06152_),
+    .Y(_06728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10819_ (.A(_07116_),
-    .X(_07117_),
+ sky130_fd_sc_hd__and2_1 _10181_ (.A(_06723_),
+    .B(_06728_),
+    .X(_06729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10820_ (.A1(_06469_),
-    .A2(_07113_),
-    .A3(_07114_),
-    .B1(_07117_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10182_ (.A(_06729_),
+    .X(_06730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a31o_1 _10183_ (.A1(_06152_),
+    .A2(_06726_),
+    .A3(_06727_),
+    .B1(_06730_),
     .X(_02307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10821_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
-    .B(_06466_),
-    .X(_07118_),
+ sky130_fd_sc_hd__or2_1 _10184_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
+    .B(_06149_),
+    .X(_06731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10822_ (.A1(_06467_),
-    .A2(_07113_),
-    .A3(_07118_),
-    .B1(_07117_),
+ sky130_fd_sc_hd__a31o_1 _10185_ (.A1(_06150_),
+    .A2(_06726_),
+    .A3(_06731_),
+    .B1(_06730_),
     .X(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10823_ (.A(_07112_),
-    .X(_07119_),
+ sky130_fd_sc_hd__or2_1 _10186_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
+    .B(_06147_),
+    .X(_06732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10824_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
-    .B(_06464_),
-    .X(_07120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10825_ (.A1(_06465_),
-    .A2(_07119_),
-    .A3(_07120_),
-    .B1(_07117_),
+ sky130_fd_sc_hd__a31o_1 _10187_ (.A1(_06148_),
+    .A2(_06726_),
+    .A3(_06732_),
+    .B1(_06730_),
     .X(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _10826_ (.A(_06457_),
-    .B(_06462_),
-    .Y(_07121_),
+ sky130_fd_sc_hd__nand2_1 _10188_ (.A(_06140_),
+    .B(_06145_),
+    .Y(_06733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10827_ (.A1(_06463_),
-    .A2(_07121_),
-    .A3(_07119_),
-    .B1(_07117_),
+ sky130_fd_sc_hd__a31o_1 _10189_ (.A1(_06146_),
+    .A2(_06733_),
+    .A3(_06724_),
+    .B1(_06730_),
     .X(_02304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10828_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
+ sky130_fd_sc_hd__a31o_1 _10190_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
     .A2(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
     .A3(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
     .B1(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
-    .X(_07122_),
+    .X(_06734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10829_ (.A1(_06462_),
-    .A2(_07122_),
-    .A3(_07119_),
-    .B1(_07116_),
+ sky130_fd_sc_hd__a31o_1 _10191_ (.A1(_06145_),
+    .A2(_06734_),
+    .A3(_06724_),
+    .B1(_06729_),
     .X(_02303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10830_ (.A1(_06458_),
-    .A2(_06459_),
-    .B1(_06460_),
-    .Y(_07123_),
+ sky130_fd_sc_hd__o21ai_1 _10192_ (.A1(_06141_),
+    .A2(_06142_),
+    .B1(_06143_),
+    .Y(_06735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _10831_ (.A1(_06461_),
-    .A2(_07123_),
-    .A3(_07119_),
-    .B1(_07116_),
+ sky130_fd_sc_hd__a31o_1 _10193_ (.A1(_06144_),
+    .A2(_06735_),
+    .A3(_06724_),
+    .B1(_06729_),
     .X(_02302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10832_ (.A1(_06458_),
-    .A2(_06459_),
+ sky130_fd_sc_hd__o221a_1 _10194_ (.A1(_06141_),
+    .A2(_06142_),
     .B1(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
     .B2(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
-    .C1(_07112_),
-    .X(_07124_),
+    .C1(_06723_),
+    .X(_06736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10833_ (.A(_07116_),
-    .B(_07124_),
+ sky130_fd_sc_hd__or2_1 _10195_ (.A(_06729_),
+    .B(_06736_),
+    .X(_06737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _10196_ (.A(_06737_),
     .X(_02301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _10834_ (.A1(_06459_),
-    .A2(_07115_),
-    .B1(_07113_),
+ sky130_fd_sc_hd__o21a_1 _10197_ (.A1(_06142_),
+    .A2(_06728_),
+    .B1(_06726_),
     .X(_02300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _10835_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
+ sky130_fd_sc_hd__or3_1 _10198_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
     .B(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
     .C(\u_usb_host.u_core.u_sie.tx_ifs_q[2] ),
-    .X(_07125_),
+    .X(_06738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10836_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
-    .B(_07062_),
-    .X(_07126_),
+ sky130_fd_sc_hd__or2_1 _10199_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
+    .B(_06671_),
+    .X(_06739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10837_ (.A(_07126_),
-    .Y(_07127_),
+ sky130_fd_sc_hd__inv_2 _10200_ (.A(_06739_),
+    .Y(_06740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _10838_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
-    .B(_07125_),
-    .C(_07127_),
+ sky130_fd_sc_hd__and3_1 _10201_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
+    .B(_06738_),
+    .C(_06740_),
+    .X(_06741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _10202_ (.A(_06741_),
     .X(_02299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _10839_ (.A1(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
+ sky130_fd_sc_hd__o21ai_1 _10203_ (.A1(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
     .A2(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
     .B1(\u_usb_host.u_core.u_sie.tx_ifs_q[2] ),
-    .Y(_07128_),
+    .Y(_06742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10840_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
-    .B(_07125_),
-    .X(_07129_),
+ sky130_fd_sc_hd__or2_1 _10204_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
+    .B(_06738_),
+    .X(_06743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10841_ (.A(_07126_),
-    .B(_07129_),
-    .Y(_07130_),
+ sky130_fd_sc_hd__nor2_1 _10205_ (.A(_06739_),
+    .B(_06743_),
+    .Y(_06744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31oi_1 _10842_ (.A1(_07125_),
-    .A2(_07128_),
-    .A3(_07127_),
-    .B1(_07130_),
+ sky130_fd_sc_hd__a31oi_1 _10206_ (.A1(_06738_),
+    .A2(_06742_),
+    .A3(_06740_),
+    .B1(_06744_),
     .Y(_02298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10843_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
-    .Y(_07131_),
+ sky130_fd_sc_hd__inv_2 _10207_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
+    .Y(_06745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10844_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
-    .Y(_07132_),
+ sky130_fd_sc_hd__inv_2 _10208_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
+    .Y(_06746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _10845_ (.A1(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
+ sky130_fd_sc_hd__o221a_1 _10209_ (.A1(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
     .A2(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
-    .B1(_07131_),
-    .B2(_07132_),
-    .C1(_07127_),
-    .X(_07133_),
+    .B1(_06745_),
+    .B2(_06746_),
+    .C1(_06740_),
+    .X(_06747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _10846_ (.A(_07130_),
-    .B(_07133_),
+ sky130_fd_sc_hd__nor2_1 _10210_ (.A(_06744_),
+    .B(_06747_),
     .Y(_02297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _10847_ (.A1(_07132_),
-    .A2(_07129_),
-    .B1(_07126_),
+ sky130_fd_sc_hd__a21o_1 _10211_ (.A1(_06746_),
+    .A2(_06743_),
+    .B1(_06739_),
     .X(_02296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21bai_1 _10848_ (.A1(_06194_),
+ sky130_fd_sc_hd__o21bai_1 _10212_ (.A1(_05890_),
     .A2(\u_usb_host.u_core.usb_irq_ack_sof_out_w ),
     .B1_N(\u_usb_host.u_core.sof_irq_q ),
     .Y(_02295_),
@@ -200961,23051 +199144,20663 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10849_ (.A(\u_uart_core.u_txfifo.wr_ptr[2] ),
-    .Y(_07134_),
+ sky130_fd_sc_hd__or3_2 _10213_ (.A(_06565_),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[3] ),
+    .C(\u_uart_core.u_rxfifo.wr_ptr[2] ),
+    .X(_06748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10850_ (.A(_07134_),
-    .X(_07135_),
+ sky130_fd_sc_hd__or3_2 _10214_ (.A(_06583_),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .C(_06748_),
+    .X(_06749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10851_ (.A(_07135_),
-    .X(_07136_),
+ sky130_fd_sc_hd__clkbuf_2 _10215_ (.A(_06749_),
+    .X(_06750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10852_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
-    .X(_07137_),
+ sky130_fd_sc_hd__inv_2 _10216_ (.A(_06749_),
+    .Y(_06751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _10853_ (.A(_06992_),
-    .B(_06388_),
-    .C(_07137_),
-    .X(_07138_),
+ sky130_fd_sc_hd__clkbuf_2 _10217_ (.A(_06751_),
+    .X(_06752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _10854_ (.A(_07008_),
-    .B(_07136_),
-    .C(_07138_),
-    .X(_07139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10855_ (.A(_07139_),
-    .X(_07140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10856_ (.A(_07139_),
-    .Y(_07141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10857_ (.A(_07141_),
-    .X(_07142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10858_ (.A1(\u_uart_core.u_txfifo.mem[14][7] ),
-    .A2(_07140_),
-    .B1(_06888_),
-    .B2(_07142_),
+ sky130_fd_sc_hd__a22o_1 _10218_ (.A1(\u_uart_core.u_rxfifo.mem[2][7] ),
+    .A2(_06750_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_06752_),
     .X(_02294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10859_ (.A1(\u_uart_core.u_txfifo.mem[14][6] ),
-    .A2(_07140_),
-    .B1(_06909_),
-    .B2(_07142_),
+ sky130_fd_sc_hd__a22o_1 _10219_ (.A1(\u_uart_core.u_rxfifo.mem[2][6] ),
+    .A2(_06750_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_06752_),
     .X(_02293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10860_ (.A1(\u_uart_core.u_txfifo.mem[14][5] ),
-    .A2(_07140_),
-    .B1(_06908_),
-    .B2(_07142_),
+ sky130_fd_sc_hd__a22o_1 _10220_ (.A1(\u_uart_core.u_rxfifo.mem[2][5] ),
+    .A2(_06750_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_06752_),
     .X(_02292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10861_ (.A1(\u_uart_core.u_txfifo.mem[14][4] ),
-    .A2(_07140_),
-    .B1(_06901_),
-    .B2(_07142_),
+ sky130_fd_sc_hd__a22o_1 _10221_ (.A1(\u_uart_core.u_rxfifo.mem[2][4] ),
+    .A2(_06750_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_06752_),
     .X(_02291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10862_ (.A(_07139_),
-    .X(_07143_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10222_ (.A(_06749_),
+    .X(_06753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10863_ (.A(_07141_),
-    .X(_07144_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10223_ (.A(_06751_),
+    .X(_06754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10864_ (.A1(\u_uart_core.u_txfifo.mem[14][3] ),
-    .A2(_07143_),
-    .B1(_06906_),
-    .B2(_07144_),
+ sky130_fd_sc_hd__a22o_1 _10224_ (.A1(\u_uart_core.u_rxfifo.mem[2][3] ),
+    .A2(_06753_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_06754_),
     .X(_02290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10865_ (.A1(\u_uart_core.u_txfifo.mem[14][2] ),
-    .A2(_07143_),
-    .B1(_06897_),
-    .B2(_07144_),
+ sky130_fd_sc_hd__a22o_1 _10225_ (.A1(\u_uart_core.u_rxfifo.mem[2][2] ),
+    .A2(_06753_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_06754_),
     .X(_02289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10866_ (.A1(\u_uart_core.u_txfifo.mem[14][1] ),
-    .A2(_07143_),
-    .B1(_06896_),
-    .B2(_07144_),
+ sky130_fd_sc_hd__a22o_1 _10226_ (.A1(\u_uart_core.u_rxfifo.mem[2][1] ),
+    .A2(_06753_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_06754_),
     .X(_02288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10867_ (.A1(\u_uart_core.u_txfifo.mem[14][0] ),
-    .A2(_07143_),
-    .B1(_06894_),
-    .B2(_07144_),
+ sky130_fd_sc_hd__a22o_1 _10227_ (.A1(\u_uart_core.u_rxfifo.mem[2][0] ),
+    .A2(_06753_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_06754_),
     .X(_02287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _10868_ (.A(_06939_),
-    .B(_06949_),
-    .C(\u_uart_core.u_rxfifo.wr_ptr[2] ),
-    .X(_07145_),
+ sky130_fd_sc_hd__inv_2 _10228_ (.A(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .Y(_06755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10869_ (.A(_06957_),
-    .B(_06965_),
-    .C(_07145_),
-    .X(_07146_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10229_ (.A(_06755_),
+    .X(_06756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10870_ (.A(_07146_),
-    .X(_07147_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10230_ (.A(_06756_),
+    .X(_06757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10871_ (.A(_05814_),
-    .X(_07148_),
+ sky130_fd_sc_hd__or3_2 _10231_ (.A(_06616_),
+    .B(_06070_),
+    .C(\u_uart_core.u_txfifo.wr_ptr[0] ),
+    .X(_06758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10872_ (.A(_07146_),
-    .Y(_07149_),
+ sky130_fd_sc_hd__or3_1 _10232_ (.A(_06628_),
+    .B(_06757_),
+    .C(_06758_),
+    .X(_06759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10873_ (.A(_07149_),
-    .X(_07150_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10233_ (.A(_06759_),
+    .X(_06760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10874_ (.A1(\u_uart_core.u_rxfifo.mem[2][7] ),
-    .A2(_07147_),
-    .B1(_07148_),
-    .B2(_07150_),
+ sky130_fd_sc_hd__inv_2 _10234_ (.A(_06759_),
+    .Y(_06761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10235_ (.A(_06761_),
+    .X(_06762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10236_ (.A1(\u_uart_core.u_txfifo.mem[14][7] ),
+    .A2(_06760_),
+    .B1(net39),
+    .B2(_06762_),
     .X(_02286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10875_ (.A(_05841_),
-    .X(_07151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10876_ (.A1(\u_uart_core.u_rxfifo.mem[2][6] ),
-    .A2(_07147_),
-    .B1(_07151_),
-    .B2(_07150_),
+ sky130_fd_sc_hd__a22o_1 _10237_ (.A1(\u_uart_core.u_txfifo.mem[14][6] ),
+    .A2(_06760_),
+    .B1(net38),
+    .B2(_06762_),
     .X(_02285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10877_ (.A(_05845_),
-    .X(_07152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10878_ (.A1(\u_uart_core.u_rxfifo.mem[2][5] ),
-    .A2(_07147_),
-    .B1(_07152_),
-    .B2(_07150_),
+ sky130_fd_sc_hd__a22o_1 _10238_ (.A1(\u_uart_core.u_txfifo.mem[14][5] ),
+    .A2(_06760_),
+    .B1(net37),
+    .B2(_06762_),
     .X(_02284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10879_ (.A(_05849_),
-    .X(_07153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10880_ (.A1(\u_uart_core.u_rxfifo.mem[2][4] ),
-    .A2(_07147_),
-    .B1(_07153_),
-    .B2(_07150_),
+ sky130_fd_sc_hd__a22o_1 _10239_ (.A1(\u_uart_core.u_txfifo.mem[14][4] ),
+    .A2(_06760_),
+    .B1(net36),
+    .B2(_06762_),
     .X(_02283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10881_ (.A(_07146_),
-    .X(_07154_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10240_ (.A(_06759_),
+    .X(_06763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10882_ (.A(_05852_),
-    .X(_07155_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10241_ (.A(_06761_),
+    .X(_06764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10883_ (.A(_07149_),
-    .X(_07156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10884_ (.A1(\u_uart_core.u_rxfifo.mem[2][3] ),
-    .A2(_07154_),
-    .B1(_07155_),
-    .B2(_07156_),
+ sky130_fd_sc_hd__a22o_1 _10242_ (.A1(\u_uart_core.u_txfifo.mem[14][3] ),
+    .A2(_06763_),
+    .B1(net35),
+    .B2(_06764_),
     .X(_02282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10885_ (.A(_05855_),
-    .X(_07157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10886_ (.A1(\u_uart_core.u_rxfifo.mem[2][2] ),
-    .A2(_07154_),
-    .B1(_07157_),
-    .B2(_07156_),
+ sky130_fd_sc_hd__a22o_1 _10243_ (.A1(\u_uart_core.u_txfifo.mem[14][2] ),
+    .A2(_06763_),
+    .B1(net32),
+    .B2(_06764_),
     .X(_02281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10887_ (.A(_05857_),
-    .X(_07158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10888_ (.A1(\u_uart_core.u_rxfifo.mem[2][1] ),
-    .A2(_07154_),
-    .B1(_07158_),
-    .B2(_07156_),
+ sky130_fd_sc_hd__a22o_1 _10244_ (.A1(\u_uart_core.u_txfifo.mem[14][1] ),
+    .A2(_06763_),
+    .B1(net25),
+    .B2(_06764_),
     .X(_02280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10889_ (.A(_05859_),
-    .X(_07159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10890_ (.A1(\u_uart_core.u_rxfifo.mem[2][0] ),
-    .A2(_07154_),
-    .B1(_07159_),
-    .B2(_07156_),
+ sky130_fd_sc_hd__a22o_1 _10245_ (.A1(\u_uart_core.u_txfifo.mem[14][0] ),
+    .A2(_06763_),
+    .B1(net14),
+    .B2(_06764_),
     .X(_02279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10891_ (.A(_07008_),
-    .B(_07005_),
-    .C(_07138_),
-    .X(_07160_),
+ sky130_fd_sc_hd__or3_4 _10246_ (.A(_06628_),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .C(_06758_),
+    .X(_06765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10892_ (.A(_07160_),
-    .X(_07161_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10247_ (.A(_06765_),
+    .X(_06766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10893_ (.A(_07160_),
-    .Y(_07162_),
+ sky130_fd_sc_hd__inv_2 _10248_ (.A(net211),
+    .Y(_06767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10894_ (.A(_07162_),
-    .X(_07163_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10249_ (.A(_06767_),
+    .X(_06768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10895_ (.A1(\u_uart_core.u_txfifo.mem[10][7] ),
-    .A2(_07161_),
-    .B1(_06888_),
-    .B2(_07163_),
+ sky130_fd_sc_hd__a22o_1 _10250_ (.A1(\u_uart_core.u_txfifo.mem[10][7] ),
+    .A2(_06766_),
+    .B1(net39),
+    .B2(_06768_),
     .X(_02278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10896_ (.A1(\u_uart_core.u_txfifo.mem[10][6] ),
-    .A2(_07161_),
-    .B1(_06909_),
-    .B2(_07163_),
+ sky130_fd_sc_hd__a22o_1 _10251_ (.A1(\u_uart_core.u_txfifo.mem[10][6] ),
+    .A2(_06766_),
+    .B1(net38),
+    .B2(_06768_),
     .X(_02277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10897_ (.A1(\u_uart_core.u_txfifo.mem[10][5] ),
-    .A2(_07161_),
-    .B1(_06908_),
-    .B2(_07163_),
+ sky130_fd_sc_hd__a22o_1 _10252_ (.A1(\u_uart_core.u_txfifo.mem[10][5] ),
+    .A2(_06766_),
+    .B1(net37),
+    .B2(_06768_),
     .X(_02276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10898_ (.A1(\u_uart_core.u_txfifo.mem[10][4] ),
-    .A2(_07161_),
-    .B1(_06901_),
-    .B2(_07163_),
+ sky130_fd_sc_hd__a22o_1 _10253_ (.A1(\u_uart_core.u_txfifo.mem[10][4] ),
+    .A2(_06766_),
+    .B1(net36),
+    .B2(_06768_),
     .X(_02275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10899_ (.A(_07160_),
-    .X(_07164_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10254_ (.A(_06765_),
+    .X(_06769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10900_ (.A(_05635_),
-    .X(_07165_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10255_ (.A(_06767_),
+    .X(_06770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10901_ (.A(_07162_),
-    .X(_07166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10902_ (.A1(\u_uart_core.u_txfifo.mem[10][3] ),
-    .A2(_07164_),
-    .B1(_07165_),
-    .B2(_07166_),
+ sky130_fd_sc_hd__a22o_1 _10256_ (.A1(\u_uart_core.u_txfifo.mem[10][3] ),
+    .A2(_06769_),
+    .B1(net35),
+    .B2(_06770_),
     .X(_02274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10903_ (.A(_05638_),
-    .X(_07167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10904_ (.A1(\u_uart_core.u_txfifo.mem[10][2] ),
-    .A2(_07164_),
-    .B1(_07167_),
-    .B2(_07166_),
+ sky130_fd_sc_hd__a22o_1 _10257_ (.A1(\u_uart_core.u_txfifo.mem[10][2] ),
+    .A2(_06769_),
+    .B1(net32),
+    .B2(_06770_),
     .X(_02273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10905_ (.A(_05640_),
-    .X(_07168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10906_ (.A1(\u_uart_core.u_txfifo.mem[10][1] ),
-    .A2(_07164_),
-    .B1(_07168_),
-    .B2(_07166_),
+ sky130_fd_sc_hd__a22o_1 _10258_ (.A1(\u_uart_core.u_txfifo.mem[10][1] ),
+    .A2(_06769_),
+    .B1(net25),
+    .B2(_06770_),
     .X(_02272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10907_ (.A(_05642_),
-    .X(_07169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10908_ (.A1(\u_uart_core.u_txfifo.mem[10][0] ),
-    .A2(_07164_),
-    .B1(_07169_),
-    .B2(_07166_),
+ sky130_fd_sc_hd__a22o_1 _10259_ (.A1(\u_uart_core.u_txfifo.mem[10][0] ),
+    .A2(_06769_),
+    .B1(net14),
+    .B2(_06770_),
     .X(_02271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10909_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
-    .X(_07170_),
+ sky130_fd_sc_hd__or3_4 _10260_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .C(_06758_),
+    .X(_06771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _10910_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
-    .B(_06936_),
-    .X(_07171_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10261_ (.A(_06771_),
+    .X(_06772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _10911_ (.A(_07170_),
-    .B(_06931_),
-    .C(_06946_),
-    .D(_07171_),
-    .X(_07172_),
+ sky130_fd_sc_hd__inv_2 _10262_ (.A(net210),
+    .Y(_06773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10912_ (.A(_07172_),
-    .X(_07173_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10263_ (.A(_06773_),
+    .X(_06774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10913_ (.A(_07172_),
-    .Y(_07174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10914_ (.A(_07174_),
-    .X(_07175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10915_ (.A1(\u_uart_core.u_rxfifo.mem[5][7] ),
-    .A2(_07173_),
-    .B1(_07148_),
-    .B2(_07175_),
+ sky130_fd_sc_hd__a22o_1 _10264_ (.A1(\u_uart_core.u_txfifo.mem[2][7] ),
+    .A2(_06772_),
+    .B1(net39),
+    .B2(_06774_),
     .X(_02270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10916_ (.A1(\u_uart_core.u_rxfifo.mem[5][6] ),
-    .A2(_07173_),
-    .B1(_07151_),
-    .B2(_07175_),
+ sky130_fd_sc_hd__a22o_1 _10265_ (.A1(\u_uart_core.u_txfifo.mem[2][6] ),
+    .A2(_06772_),
+    .B1(net38),
+    .B2(_06774_),
     .X(_02269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10917_ (.A1(\u_uart_core.u_rxfifo.mem[5][5] ),
-    .A2(_07173_),
-    .B1(_07152_),
-    .B2(_07175_),
+ sky130_fd_sc_hd__a22o_1 _10266_ (.A1(\u_uart_core.u_txfifo.mem[2][5] ),
+    .A2(_06772_),
+    .B1(net37),
+    .B2(_06774_),
     .X(_02268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10918_ (.A1(\u_uart_core.u_rxfifo.mem[5][4] ),
-    .A2(_07173_),
-    .B1(_07153_),
-    .B2(_07175_),
+ sky130_fd_sc_hd__a22o_1 _10267_ (.A1(\u_uart_core.u_txfifo.mem[2][4] ),
+    .A2(_06772_),
+    .B1(net36),
+    .B2(_06774_),
     .X(_02267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10919_ (.A(_07172_),
-    .X(_07176_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10268_ (.A(_06771_),
+    .X(_06775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10920_ (.A(_07174_),
-    .X(_07177_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10269_ (.A(_06773_),
+    .X(_06776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10921_ (.A1(\u_uart_core.u_rxfifo.mem[5][3] ),
-    .A2(_07176_),
-    .B1(_07155_),
-    .B2(_07177_),
+ sky130_fd_sc_hd__a22o_1 _10270_ (.A1(\u_uart_core.u_txfifo.mem[2][3] ),
+    .A2(_06775_),
+    .B1(net35),
+    .B2(_06776_),
     .X(_02266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10922_ (.A1(\u_uart_core.u_rxfifo.mem[5][2] ),
-    .A2(_07176_),
-    .B1(_07157_),
-    .B2(_07177_),
+ sky130_fd_sc_hd__a22o_1 _10271_ (.A1(\u_uart_core.u_txfifo.mem[2][2] ),
+    .A2(_06775_),
+    .B1(net32),
+    .B2(_06776_),
     .X(_02265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10923_ (.A1(\u_uart_core.u_rxfifo.mem[5][1] ),
-    .A2(_07176_),
-    .B1(_07158_),
-    .B2(_07177_),
+ sky130_fd_sc_hd__a22o_1 _10272_ (.A1(\u_uart_core.u_txfifo.mem[2][1] ),
+    .A2(_06775_),
+    .B1(net25),
+    .B2(_06776_),
     .X(_02264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10924_ (.A1(\u_uart_core.u_rxfifo.mem[5][0] ),
-    .A2(_07176_),
-    .B1(_07159_),
-    .B2(_07177_),
+ sky130_fd_sc_hd__a22o_1 _10273_ (.A1(\u_uart_core.u_txfifo.mem[2][0] ),
+    .A2(_06775_),
+    .B1(net14),
+    .B2(_06776_),
     .X(_02263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _10925_ (.A(_07003_),
-    .B(_07005_),
-    .C(_07138_),
-    .X(_07178_),
+ sky130_fd_sc_hd__or2_1 _10274_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
+    .B(_06563_),
+    .X(_06777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10926_ (.A(_07178_),
-    .X(_07179_),
+ sky130_fd_sc_hd__or4_2 _10275_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .B(_06557_),
+    .C(_06572_),
+    .D(_06777_),
+    .X(_06778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10927_ (.A(_07178_),
-    .Y(_07180_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10276_ (.A(_06778_),
+    .X(_06779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10928_ (.A(_07180_),
-    .X(_07181_),
+ sky130_fd_sc_hd__inv_2 _10277_ (.A(_06778_),
+    .Y(_06780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10929_ (.A1(\u_uart_core.u_txfifo.mem[2][7] ),
-    .A2(_07179_),
-    .B1(_06888_),
-    .B2(_07181_),
+ sky130_fd_sc_hd__clkbuf_2 _10278_ (.A(_06780_),
+    .X(_06781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10279_ (.A1(\u_uart_core.u_rxfifo.mem[5][7] ),
+    .A2(_06779_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_06781_),
     .X(_02262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10930_ (.A1(\u_uart_core.u_txfifo.mem[2][6] ),
-    .A2(_07179_),
-    .B1(_06909_),
-    .B2(_07181_),
+ sky130_fd_sc_hd__a22o_1 _10280_ (.A1(\u_uart_core.u_rxfifo.mem[5][6] ),
+    .A2(_06779_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_06781_),
     .X(_02261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10931_ (.A1(\u_uart_core.u_txfifo.mem[2][5] ),
-    .A2(_07179_),
-    .B1(_06908_),
-    .B2(_07181_),
+ sky130_fd_sc_hd__a22o_1 _10281_ (.A1(\u_uart_core.u_rxfifo.mem[5][5] ),
+    .A2(_06779_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_06781_),
     .X(_02260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10932_ (.A(_06900_),
-    .X(_07182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10933_ (.A1(\u_uart_core.u_txfifo.mem[2][4] ),
-    .A2(_07179_),
-    .B1(_07182_),
-    .B2(_07181_),
+ sky130_fd_sc_hd__a22o_1 _10282_ (.A1(\u_uart_core.u_rxfifo.mem[5][4] ),
+    .A2(_06779_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_06781_),
     .X(_02259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10934_ (.A(_07178_),
-    .X(_07183_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10283_ (.A(_06778_),
+    .X(_06782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10935_ (.A(_07180_),
-    .X(_07184_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10284_ (.A(_06780_),
+    .X(_06783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10936_ (.A1(\u_uart_core.u_txfifo.mem[2][3] ),
-    .A2(_07183_),
-    .B1(_07165_),
-    .B2(_07184_),
+ sky130_fd_sc_hd__a22o_1 _10285_ (.A1(\u_uart_core.u_rxfifo.mem[5][3] ),
+    .A2(_06782_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_06783_),
     .X(_02258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10937_ (.A1(\u_uart_core.u_txfifo.mem[2][2] ),
-    .A2(_07183_),
-    .B1(_07167_),
-    .B2(_07184_),
+ sky130_fd_sc_hd__a22o_1 _10286_ (.A1(\u_uart_core.u_rxfifo.mem[5][2] ),
+    .A2(_06782_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_06783_),
     .X(_02257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10938_ (.A1(\u_uart_core.u_txfifo.mem[2][1] ),
-    .A2(_07183_),
-    .B1(_07168_),
-    .B2(_07184_),
+ sky130_fd_sc_hd__a22o_1 _10287_ (.A1(\u_uart_core.u_rxfifo.mem[5][1] ),
+    .A2(_06782_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_06783_),
     .X(_02256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10939_ (.A1(\u_uart_core.u_txfifo.mem[2][0] ),
-    .A2(_07183_),
-    .B1(_07169_),
-    .B2(_07184_),
+ sky130_fd_sc_hd__a22o_1 _10288_ (.A1(\u_uart_core.u_rxfifo.mem[5][0] ),
+    .A2(_06782_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_06783_),
     .X(_02255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10940_ (.A(_05601_),
-    .X(_07185_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10289_ (.A(_05365_),
+    .X(_06784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10941_ (.A(_07185_),
-    .X(_07186_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10290_ (.A(_06784_),
+    .X(_06785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10942_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
-    .A2(_07186_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10291_ (.A(_05367_),
+    .X(_06786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10292_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
+    .A2(_06785_),
     .B1(\u_usb_host.reg_rdata[31] ),
-    .B2(_05603_),
+    .B2(_06786_),
     .X(_02254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10943_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
-    .A2(_07186_),
+ sky130_fd_sc_hd__a22o_1 _10293_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
+    .A2(_06785_),
     .B1(\u_usb_host.reg_rdata[30] ),
-    .B2(_05603_),
+    .B2(_06786_),
     .X(_02253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10944_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
-    .A2(_07186_),
+ sky130_fd_sc_hd__a22o_1 _10294_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
+    .A2(_06785_),
     .B1(\u_usb_host.reg_rdata[29] ),
-    .B2(_05603_),
+    .B2(_06786_),
     .X(_02252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10945_ (.A(_05602_),
-    .X(_07187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10946_ (.A(_07187_),
-    .X(_07188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10947_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
-    .A2(_07186_),
+ sky130_fd_sc_hd__a22o_1 _10295_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
+    .A2(_06785_),
     .B1(\u_usb_host.reg_rdata[28] ),
-    .B2(_07188_),
+    .B2(_06786_),
     .X(_02251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10948_ (.A(_07185_),
-    .X(_07189_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10296_ (.A(_06784_),
+    .X(_06787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10949_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
-    .A2(_07189_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10297_ (.A(_05367_),
+    .X(_06788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10298_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
+    .A2(_06787_),
     .B1(\u_usb_host.reg_rdata[27] ),
-    .B2(_07188_),
+    .B2(_06788_),
     .X(_02250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10950_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
-    .A2(_07189_),
+ sky130_fd_sc_hd__a22o_1 _10299_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
+    .A2(_06787_),
     .B1(\u_usb_host.reg_rdata[26] ),
-    .B2(_07188_),
+    .B2(_06788_),
     .X(_02249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10951_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
-    .A2(_07189_),
+ sky130_fd_sc_hd__a22o_1 _10300_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
+    .A2(_06787_),
     .B1(\u_usb_host.reg_rdata[25] ),
-    .B2(_07188_),
+    .B2(_06788_),
     .X(_02248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10952_ (.A(_07187_),
-    .X(_07190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10953_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
-    .A2(_07189_),
+ sky130_fd_sc_hd__a22o_1 _10301_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
+    .A2(_06787_),
     .B1(\u_usb_host.reg_rdata[24] ),
-    .B2(_07190_),
+    .B2(_06788_),
     .X(_02247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10954_ (.A(_07185_),
-    .X(_07191_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10302_ (.A(_06784_),
+    .X(_06789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10955_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
-    .A2(_07191_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10303_ (.A(_05367_),
+    .X(_06790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10304_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
+    .A2(_06789_),
     .B1(\u_usb_host.reg_rdata[23] ),
-    .B2(_07190_),
+    .B2(_06790_),
     .X(_02246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10956_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
-    .A2(_07191_),
+ sky130_fd_sc_hd__a22o_1 _10305_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
+    .A2(_06789_),
     .B1(\u_usb_host.reg_rdata[22] ),
-    .B2(_07190_),
+    .B2(_06790_),
     .X(_02245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10957_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ),
-    .A2(_07191_),
+ sky130_fd_sc_hd__a22o_1 _10306_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ),
+    .A2(_06789_),
     .B1(\u_usb_host.reg_rdata[21] ),
-    .B2(_07190_),
+    .B2(_06790_),
     .X(_02244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10958_ (.A(_07187_),
-    .X(_07192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10959_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
-    .A2(_07191_),
+ sky130_fd_sc_hd__a22o_1 _10307_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
+    .A2(_06789_),
     .B1(\u_usb_host.reg_rdata[20] ),
-    .B2(_07192_),
+    .B2(_06790_),
     .X(_02243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10960_ (.A(_07185_),
-    .X(_07193_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10308_ (.A(_06784_),
+    .X(_06791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10961_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
-    .A2(_07193_),
+ sky130_fd_sc_hd__clkbuf_2 _10309_ (.A(_05366_),
+    .X(_06792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10310_ (.A(_06792_),
+    .X(_06793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10311_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
+    .A2(_06791_),
     .B1(\u_usb_host.reg_rdata[19] ),
-    .B2(_07192_),
+    .B2(_06793_),
     .X(_02242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10962_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
-    .A2(_07193_),
+ sky130_fd_sc_hd__a22o_1 _10312_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
+    .A2(_06791_),
     .B1(\u_usb_host.reg_rdata[18] ),
-    .B2(_07192_),
+    .B2(_06793_),
     .X(_02241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10963_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
-    .A2(_07193_),
+ sky130_fd_sc_hd__a22o_1 _10313_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
+    .A2(_06791_),
     .B1(\u_usb_host.reg_rdata[17] ),
-    .B2(_07192_),
+    .B2(_06793_),
     .X(_02240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10964_ (.A(_05602_),
-    .X(_07194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10965_ (.A(_07194_),
-    .X(_07195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10966_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ),
-    .A2(_07193_),
+ sky130_fd_sc_hd__a22o_1 _10314_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ),
+    .A2(_06791_),
     .B1(\u_usb_host.reg_rdata[16] ),
-    .B2(_07195_),
+    .B2(_06793_),
     .X(_02239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10967_ (.A(_05601_),
-    .X(_07196_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10315_ (.A(_05365_),
+    .X(_06794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10968_ (.A(_07196_),
-    .X(_07197_),
+ sky130_fd_sc_hd__clkbuf_2 _10316_ (.A(_06794_),
+    .X(_06795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10969_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
-    .A2(_07197_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10317_ (.A(_06792_),
+    .X(_06796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10318_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
+    .A2(_06795_),
     .B1(\u_usb_host.reg_rdata[15] ),
-    .B2(_07195_),
+    .B2(_06796_),
     .X(_02238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10970_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
-    .A2(_07197_),
+ sky130_fd_sc_hd__a22o_1 _10319_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
+    .A2(_06795_),
     .B1(\u_usb_host.reg_rdata[14] ),
-    .B2(_07195_),
+    .B2(_06796_),
     .X(_02237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10971_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
-    .A2(_07197_),
+ sky130_fd_sc_hd__a22o_1 _10320_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
+    .A2(_06795_),
     .B1(\u_usb_host.reg_rdata[13] ),
-    .B2(_07195_),
+    .B2(_06796_),
     .X(_02236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10972_ (.A(_07194_),
-    .X(_07198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10973_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
-    .A2(_07197_),
+ sky130_fd_sc_hd__a22o_1 _10321_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
+    .A2(_06795_),
     .B1(\u_usb_host.reg_rdata[12] ),
-    .B2(_07198_),
+    .B2(_06796_),
     .X(_02235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10974_ (.A(_07196_),
-    .X(_07199_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10322_ (.A(_06794_),
+    .X(_06797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10975_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
-    .A2(_07199_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10323_ (.A(_06792_),
+    .X(_06798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10324_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
+    .A2(_06797_),
     .B1(\u_usb_host.reg_rdata[11] ),
-    .B2(_07198_),
+    .B2(_06798_),
     .X(_02234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10976_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
-    .A2(_07199_),
+ sky130_fd_sc_hd__a22o_1 _10325_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
+    .A2(_06797_),
     .B1(\u_usb_host.reg_rdata[10] ),
-    .B2(_07198_),
+    .B2(_06798_),
     .X(_02233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10977_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ),
-    .A2(_07199_),
+ sky130_fd_sc_hd__a22o_1 _10326_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ),
+    .A2(_06797_),
     .B1(\u_usb_host.reg_rdata[9] ),
-    .B2(_07198_),
+    .B2(_06798_),
     .X(_02232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _10978_ (.A(_07194_),
-    .X(_07200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10979_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ),
-    .A2(_07199_),
+ sky130_fd_sc_hd__a22o_1 _10327_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ),
+    .A2(_06797_),
     .B1(\u_usb_host.reg_rdata[8] ),
-    .B2(_07200_),
+    .B2(_06798_),
     .X(_02231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10980_ (.A(_07196_),
-    .X(_07201_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10328_ (.A(_06794_),
+    .X(_06799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10981_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ),
-    .A2(_07201_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10329_ (.A(_06792_),
+    .X(_06800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10330_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ),
+    .A2(_06799_),
     .B1(\u_usb_host.reg_rdata[7] ),
-    .B2(_07200_),
+    .B2(_06800_),
     .X(_02230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10982_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ),
-    .A2(_07201_),
+ sky130_fd_sc_hd__a22o_1 _10331_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ),
+    .A2(_06799_),
     .B1(\u_usb_host.reg_rdata[6] ),
-    .B2(_07200_),
+    .B2(_06800_),
     .X(_02229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10983_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ),
-    .A2(_07201_),
+ sky130_fd_sc_hd__a22o_1 _10332_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ),
+    .A2(_06799_),
     .B1(\u_usb_host.reg_rdata[5] ),
-    .B2(_07200_),
+    .B2(_06800_),
     .X(_02228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10984_ (.A(_07194_),
-    .X(_07202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10985_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ),
-    .A2(_07201_),
+ sky130_fd_sc_hd__a22o_1 _10333_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ),
+    .A2(_06799_),
     .B1(\u_usb_host.reg_rdata[4] ),
-    .B2(_07202_),
+    .B2(_06800_),
     .X(_02227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10986_ (.A(_07196_),
-    .X(_07203_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10334_ (.A(_06794_),
+    .X(_06801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10987_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ),
-    .A2(_07203_),
+ sky130_fd_sc_hd__clkbuf_2 _10335_ (.A(_05366_),
+    .X(_06802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10336_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ),
+    .A2(_06801_),
     .B1(\u_usb_host.reg_rdata[3] ),
-    .B2(_07202_),
+    .B2(_06802_),
     .X(_02226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10988_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ),
-    .A2(_07203_),
+ sky130_fd_sc_hd__a22o_1 _10337_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ),
+    .A2(_06801_),
     .B1(\u_usb_host.reg_rdata[2] ),
-    .B2(_07202_),
+    .B2(_06802_),
     .X(_02225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10989_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ),
-    .A2(_07203_),
+ sky130_fd_sc_hd__a22o_1 _10338_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ),
+    .A2(_06801_),
     .B1(\u_usb_host.reg_rdata[1] ),
-    .B2(_07202_),
+    .B2(_06802_),
     .X(_02224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10990_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ),
-    .A2(_07203_),
+ sky130_fd_sc_hd__a22o_1 _10339_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ),
+    .A2(_06801_),
     .B1(\u_usb_host.reg_rdata[0] ),
-    .B2(_07187_),
+    .B2(_06802_),
     .X(_02223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _10991_ (.A(_06958_),
-    .B(_07145_),
-    .X(_07204_),
+ sky130_fd_sc_hd__or2_2 _10340_ (.A(_06584_),
+    .B(_06748_),
+    .X(_06803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10992_ (.A(_07204_),
-    .X(_07205_),
+ sky130_fd_sc_hd__clkbuf_2 _10341_ (.A(_06803_),
+    .X(_06804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10993_ (.A(_07204_),
-    .Y(_07206_),
+ sky130_fd_sc_hd__inv_2 _10342_ (.A(_06803_),
+    .Y(_06805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10994_ (.A(_07206_),
-    .X(_07207_),
+ sky130_fd_sc_hd__clkbuf_2 _10343_ (.A(_06805_),
+    .X(_06806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10995_ (.A1(\u_uart_core.u_rxfifo.mem[3][7] ),
-    .A2(_07205_),
-    .B1(_07148_),
-    .B2(_07207_),
+ sky130_fd_sc_hd__a22o_1 _10344_ (.A1(\u_uart_core.u_rxfifo.mem[3][7] ),
+    .A2(_06804_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_06806_),
     .X(_02222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10996_ (.A1(\u_uart_core.u_rxfifo.mem[3][6] ),
-    .A2(_07205_),
-    .B1(_07151_),
-    .B2(_07207_),
+ sky130_fd_sc_hd__a22o_1 _10345_ (.A1(\u_uart_core.u_rxfifo.mem[3][6] ),
+    .A2(_06804_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_06806_),
     .X(_02221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10997_ (.A1(\u_uart_core.u_rxfifo.mem[3][5] ),
-    .A2(_07205_),
-    .B1(_07152_),
-    .B2(_07207_),
+ sky130_fd_sc_hd__a22o_1 _10346_ (.A1(\u_uart_core.u_rxfifo.mem[3][5] ),
+    .A2(_06804_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_06806_),
     .X(_02220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _10998_ (.A1(\u_uart_core.u_rxfifo.mem[3][4] ),
-    .A2(_07205_),
-    .B1(_07153_),
-    .B2(_07207_),
+ sky130_fd_sc_hd__a22o_1 _10347_ (.A1(\u_uart_core.u_rxfifo.mem[3][4] ),
+    .A2(_06804_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_06806_),
     .X(_02219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _10999_ (.A(_07204_),
-    .X(_07208_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10348_ (.A(_06803_),
+    .X(_06807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11000_ (.A(_07206_),
-    .X(_07209_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10349_ (.A(_06805_),
+    .X(_06808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11001_ (.A1(\u_uart_core.u_rxfifo.mem[3][3] ),
-    .A2(_07208_),
-    .B1(_07155_),
-    .B2(_07209_),
+ sky130_fd_sc_hd__a22o_1 _10350_ (.A1(\u_uart_core.u_rxfifo.mem[3][3] ),
+    .A2(_06807_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_06808_),
     .X(_02218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11002_ (.A1(\u_uart_core.u_rxfifo.mem[3][2] ),
-    .A2(_07208_),
-    .B1(_07157_),
-    .B2(_07209_),
+ sky130_fd_sc_hd__a22o_1 _10351_ (.A1(\u_uart_core.u_rxfifo.mem[3][2] ),
+    .A2(_06807_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_06808_),
     .X(_02217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11003_ (.A1(\u_uart_core.u_rxfifo.mem[3][1] ),
-    .A2(_07208_),
-    .B1(_07158_),
-    .B2(_07209_),
+ sky130_fd_sc_hd__a22o_1 _10352_ (.A1(\u_uart_core.u_rxfifo.mem[3][1] ),
+    .A2(_06807_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_06808_),
     .X(_02216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11004_ (.A1(\u_uart_core.u_rxfifo.mem[3][0] ),
-    .A2(_07208_),
-    .B1(_07159_),
-    .B2(_07209_),
+ sky130_fd_sc_hd__a22o_1 _10353_ (.A1(\u_uart_core.u_rxfifo.mem[3][0] ),
+    .A2(_06807_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_06808_),
     .X(_02215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11005_ (.A(_07004_),
-    .X(_07210_),
+ sky130_fd_sc_hd__or3_2 _10354_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .C(_06636_),
+    .X(_06809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _11006_ (.A(_07003_),
-    .B(_07210_),
-    .C(_07016_),
-    .X(_07211_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10355_ (.A(_06809_),
+    .X(_06810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11007_ (.A(_07211_),
-    .X(_07212_),
+ sky130_fd_sc_hd__inv_2 _10356_ (.A(_06809_),
+    .Y(_06811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11008_ (.A(net39),
-    .X(_07213_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10357_ (.A(_06811_),
+    .X(_06812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11009_ (.A(_07213_),
-    .X(_07214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11010_ (.A(_07211_),
-    .Y(_07215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11011_ (.A(_07215_),
-    .X(_07216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11012_ (.A1(\u_uart_core.u_txfifo.mem[1][7] ),
-    .A2(_07212_),
-    .B1(_07214_),
-    .B2(_07216_),
+ sky130_fd_sc_hd__a22o_1 _10358_ (.A1(\u_uart_core.u_txfifo.mem[1][7] ),
+    .A2(_06810_),
+    .B1(net39),
+    .B2(_06812_),
     .X(_02214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11013_ (.A(net38),
-    .X(_07217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11014_ (.A(_07217_),
-    .X(_07218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11015_ (.A1(\u_uart_core.u_txfifo.mem[1][6] ),
-    .A2(_07212_),
-    .B1(_07218_),
-    .B2(_07216_),
+ sky130_fd_sc_hd__a22o_1 _10359_ (.A1(\u_uart_core.u_txfifo.mem[1][6] ),
+    .A2(_06810_),
+    .B1(net38),
+    .B2(_06812_),
     .X(_02213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11016_ (.A(net37),
-    .X(_07219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11017_ (.A(_07219_),
-    .X(_07220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11018_ (.A1(\u_uart_core.u_txfifo.mem[1][5] ),
-    .A2(_07212_),
-    .B1(_07220_),
-    .B2(_07216_),
+ sky130_fd_sc_hd__a22o_1 _10360_ (.A1(\u_uart_core.u_txfifo.mem[1][5] ),
+    .A2(_06810_),
+    .B1(net37),
+    .B2(_06812_),
     .X(_02212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11019_ (.A1(\u_uart_core.u_txfifo.mem[1][4] ),
-    .A2(_07212_),
-    .B1(_07182_),
-    .B2(_07216_),
+ sky130_fd_sc_hd__a22o_1 _10361_ (.A1(\u_uart_core.u_txfifo.mem[1][4] ),
+    .A2(_06810_),
+    .B1(net36),
+    .B2(_06812_),
     .X(_02211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11020_ (.A(_07211_),
-    .X(_07221_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10362_ (.A(_06809_),
+    .X(_06813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11021_ (.A(_07215_),
-    .X(_07222_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10363_ (.A(_06811_),
+    .X(_06814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11022_ (.A1(\u_uart_core.u_txfifo.mem[1][3] ),
-    .A2(_07221_),
-    .B1(_07165_),
-    .B2(_07222_),
+ sky130_fd_sc_hd__a22o_1 _10364_ (.A1(\u_uart_core.u_txfifo.mem[1][3] ),
+    .A2(_06813_),
+    .B1(net35),
+    .B2(_06814_),
     .X(_02210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11023_ (.A1(\u_uart_core.u_txfifo.mem[1][2] ),
-    .A2(_07221_),
-    .B1(_07167_),
-    .B2(_07222_),
+ sky130_fd_sc_hd__a22o_1 _10365_ (.A1(\u_uart_core.u_txfifo.mem[1][2] ),
+    .A2(_06813_),
+    .B1(net32),
+    .B2(_06814_),
     .X(_02209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11024_ (.A1(\u_uart_core.u_txfifo.mem[1][1] ),
-    .A2(_07221_),
-    .B1(_07168_),
-    .B2(_07222_),
+ sky130_fd_sc_hd__a22o_1 _10366_ (.A1(\u_uart_core.u_txfifo.mem[1][1] ),
+    .A2(_06813_),
+    .B1(net25),
+    .B2(_06814_),
     .X(_02208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11025_ (.A1(\u_uart_core.u_txfifo.mem[1][0] ),
-    .A2(_07221_),
-    .B1(_07169_),
-    .B2(_07222_),
+ sky130_fd_sc_hd__a22o_1 _10367_ (.A1(\u_uart_core.u_txfifo.mem[1][0] ),
+    .A2(_06813_),
+    .B1(net14),
+    .B2(_06814_),
     .X(_02207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _11026_ (.A(_06938_),
-    .B(_06935_),
+ sky130_fd_sc_hd__or3_2 _10368_ (.A(_06564_),
+    .B(_06561_),
     .C(\u_uart_core.u_rxfifo.wr_ptr[2] ),
-    .X(_07223_),
+    .X(_06815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11027_ (.A(_06963_),
-    .B(_06967_),
-    .C(_07223_),
-    .X(_07224_),
+ sky130_fd_sc_hd__or3_2 _10369_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .B(_06591_),
+    .C(_06815_),
+    .X(_06816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11028_ (.A(_07224_),
-    .X(_07225_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10370_ (.A(_06816_),
+    .X(_06817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11029_ (.A(_07224_),
-    .Y(_07226_),
+ sky130_fd_sc_hd__inv_2 _10371_ (.A(_06816_),
+    .Y(_06818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11030_ (.A(_07226_),
-    .X(_07227_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10372_ (.A(_06818_),
+    .X(_06819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11031_ (.A1(\u_uart_core.u_rxfifo.mem[9][7] ),
-    .A2(_07225_),
-    .B1(_07148_),
-    .B2(_07227_),
+ sky130_fd_sc_hd__a22o_1 _10373_ (.A1(\u_uart_core.u_rxfifo.mem[9][7] ),
+    .A2(_06817_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_06819_),
     .X(_02206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11032_ (.A1(\u_uart_core.u_rxfifo.mem[9][6] ),
-    .A2(_07225_),
-    .B1(_07151_),
-    .B2(_07227_),
+ sky130_fd_sc_hd__a22o_1 _10374_ (.A1(\u_uart_core.u_rxfifo.mem[9][6] ),
+    .A2(_06817_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_06819_),
     .X(_02205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11033_ (.A1(\u_uart_core.u_rxfifo.mem[9][5] ),
-    .A2(_07225_),
-    .B1(_07152_),
-    .B2(_07227_),
+ sky130_fd_sc_hd__a22o_1 _10375_ (.A1(\u_uart_core.u_rxfifo.mem[9][5] ),
+    .A2(_06817_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_06819_),
     .X(_02204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11034_ (.A1(\u_uart_core.u_rxfifo.mem[9][4] ),
-    .A2(_07225_),
-    .B1(_07153_),
-    .B2(_07227_),
+ sky130_fd_sc_hd__a22o_1 _10376_ (.A1(\u_uart_core.u_rxfifo.mem[9][4] ),
+    .A2(_06817_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_06819_),
     .X(_02203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11035_ (.A(_07224_),
-    .X(_07228_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10377_ (.A(_06816_),
+    .X(_06820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11036_ (.A(_07226_),
-    .X(_07229_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10378_ (.A(_06818_),
+    .X(_06821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11037_ (.A1(\u_uart_core.u_rxfifo.mem[9][3] ),
-    .A2(_07228_),
-    .B1(_07155_),
-    .B2(_07229_),
+ sky130_fd_sc_hd__a22o_1 _10379_ (.A1(\u_uart_core.u_rxfifo.mem[9][3] ),
+    .A2(_06820_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_06821_),
     .X(_02202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11038_ (.A1(\u_uart_core.u_rxfifo.mem[9][2] ),
-    .A2(_07228_),
-    .B1(_07157_),
-    .B2(_07229_),
+ sky130_fd_sc_hd__a22o_1 _10380_ (.A1(\u_uart_core.u_rxfifo.mem[9][2] ),
+    .A2(_06820_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_06821_),
     .X(_02201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11039_ (.A1(\u_uart_core.u_rxfifo.mem[9][1] ),
-    .A2(_07228_),
-    .B1(_07158_),
-    .B2(_07229_),
+ sky130_fd_sc_hd__a22o_1 _10381_ (.A1(\u_uart_core.u_rxfifo.mem[9][1] ),
+    .A2(_06820_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_06821_),
     .X(_02200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11040_ (.A1(\u_uart_core.u_rxfifo.mem[9][0] ),
-    .A2(_07228_),
-    .B1(_07159_),
-    .B2(_07229_),
+ sky130_fd_sc_hd__a22o_1 _10382_ (.A1(\u_uart_core.u_rxfifo.mem[9][0] ),
+    .A2(_06820_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_06821_),
     .X(_02199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11041_ (.A(_07007_),
-    .X(_07230_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10383_ (.A(_06627_),
+    .X(_06822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _11042_ (.A(_06992_),
-    .B(_06388_),
-    .C(_07013_),
-    .X(_07231_),
+ sky130_fd_sc_hd__or3_2 _10384_ (.A(_06616_),
+    .B(_06070_),
+    .C(_06633_),
+    .X(_06823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11043_ (.A(_07230_),
-    .B(_07210_),
-    .C(_07231_),
-    .X(_07232_),
+ sky130_fd_sc_hd__or3_4 _10385_ (.A(_06822_),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .C(_06823_),
+    .X(_06824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11044_ (.A(_07232_),
-    .X(_07233_),
+ sky130_fd_sc_hd__clkbuf_2 _10386_ (.A(_06824_),
+    .X(_06825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11045_ (.A(_07232_),
-    .Y(_07234_),
+ sky130_fd_sc_hd__inv_2 _10387_ (.A(net212),
+    .Y(_06826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11046_ (.A(_07234_),
-    .X(_07235_),
+ sky130_fd_sc_hd__clkbuf_2 _10388_ (.A(_06826_),
+    .X(_06827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11047_ (.A1(\u_uart_core.u_txfifo.mem[11][7] ),
-    .A2(_07233_),
-    .B1(_07214_),
-    .B2(_07235_),
+ sky130_fd_sc_hd__a22o_1 _10389_ (.A1(\u_uart_core.u_txfifo.mem[11][7] ),
+    .A2(_06825_),
+    .B1(net39),
+    .B2(_06827_),
     .X(_02198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11048_ (.A1(\u_uart_core.u_txfifo.mem[11][6] ),
-    .A2(_07233_),
-    .B1(_07218_),
-    .B2(_07235_),
+ sky130_fd_sc_hd__a22o_1 _10390_ (.A1(\u_uart_core.u_txfifo.mem[11][6] ),
+    .A2(_06825_),
+    .B1(net38),
+    .B2(_06827_),
     .X(_02197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11049_ (.A1(\u_uart_core.u_txfifo.mem[11][5] ),
-    .A2(_07233_),
-    .B1(_07220_),
-    .B2(_07235_),
+ sky130_fd_sc_hd__a22o_1 _10391_ (.A1(\u_uart_core.u_txfifo.mem[11][5] ),
+    .A2(_06825_),
+    .B1(net37),
+    .B2(_06827_),
     .X(_02196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11050_ (.A1(\u_uart_core.u_txfifo.mem[11][4] ),
-    .A2(_07233_),
-    .B1(_07182_),
-    .B2(_07235_),
+ sky130_fd_sc_hd__a22o_1 _10392_ (.A1(\u_uart_core.u_txfifo.mem[11][4] ),
+    .A2(_06825_),
+    .B1(net36),
+    .B2(_06827_),
     .X(_02195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11051_ (.A(_07232_),
-    .X(_07236_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10393_ (.A(_06824_),
+    .X(_06828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11052_ (.A(_07234_),
-    .X(_07237_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10394_ (.A(_06826_),
+    .X(_06829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11053_ (.A1(\u_uart_core.u_txfifo.mem[11][3] ),
-    .A2(_07236_),
-    .B1(_07165_),
-    .B2(_07237_),
+ sky130_fd_sc_hd__a22o_1 _10395_ (.A1(\u_uart_core.u_txfifo.mem[11][3] ),
+    .A2(_06828_),
+    .B1(net35),
+    .B2(_06829_),
     .X(_02194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11054_ (.A1(\u_uart_core.u_txfifo.mem[11][2] ),
-    .A2(_07236_),
-    .B1(_07167_),
-    .B2(_07237_),
+ sky130_fd_sc_hd__a22o_1 _10396_ (.A1(\u_uart_core.u_txfifo.mem[11][2] ),
+    .A2(_06828_),
+    .B1(net32),
+    .B2(_06829_),
     .X(_02193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11055_ (.A1(\u_uart_core.u_txfifo.mem[11][1] ),
-    .A2(_07236_),
-    .B1(_07168_),
-    .B2(_07237_),
+ sky130_fd_sc_hd__a22o_1 _10397_ (.A1(\u_uart_core.u_txfifo.mem[11][1] ),
+    .A2(_06828_),
+    .B1(net25),
+    .B2(_06829_),
     .X(_02192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11056_ (.A1(\u_uart_core.u_txfifo.mem[11][0] ),
-    .A2(_07236_),
-    .B1(_07169_),
-    .B2(_07237_),
+ sky130_fd_sc_hd__a22o_1 _10398_ (.A1(\u_uart_core.u_txfifo.mem[11][0] ),
+    .A2(_06828_),
+    .B1(net14),
+    .B2(_06829_),
     .X(_02191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _11057_ (.A(_06956_),
-    .B(_06964_),
-    .C(_06946_),
-    .D(_07171_),
-    .X(_07238_),
+ sky130_fd_sc_hd__or4_2 _10399_ (.A(_06582_),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .C(_06572_),
+    .D(_06777_),
+    .X(_06830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11058_ (.A(_07238_),
-    .X(_07239_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10400_ (.A(_06830_),
+    .X(_06831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11059_ (.A(_05814_),
-    .X(_07240_),
+ sky130_fd_sc_hd__inv_2 _10401_ (.A(_06830_),
+    .Y(_06832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11060_ (.A(_07238_),
-    .Y(_07241_),
+ sky130_fd_sc_hd__clkbuf_2 _10402_ (.A(_06832_),
+    .X(_06833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11061_ (.A(_07241_),
-    .X(_07242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11062_ (.A1(\u_uart_core.u_rxfifo.mem[6][7] ),
-    .A2(_07239_),
-    .B1(_07240_),
-    .B2(_07242_),
+ sky130_fd_sc_hd__a22o_1 _10403_ (.A1(\u_uart_core.u_rxfifo.mem[6][7] ),
+    .A2(_06831_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_06833_),
     .X(_02190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11063_ (.A(_05841_),
-    .X(_07243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11064_ (.A1(\u_uart_core.u_rxfifo.mem[6][6] ),
-    .A2(_07239_),
-    .B1(_07243_),
-    .B2(_07242_),
+ sky130_fd_sc_hd__a22o_1 _10404_ (.A1(\u_uart_core.u_rxfifo.mem[6][6] ),
+    .A2(_06831_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_06833_),
     .X(_02189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11065_ (.A(_05845_),
-    .X(_07244_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11066_ (.A1(\u_uart_core.u_rxfifo.mem[6][5] ),
-    .A2(_07239_),
-    .B1(_07244_),
-    .B2(_07242_),
+ sky130_fd_sc_hd__a22o_1 _10405_ (.A1(\u_uart_core.u_rxfifo.mem[6][5] ),
+    .A2(_06831_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_06833_),
     .X(_02188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11067_ (.A(_05849_),
-    .X(_07245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11068_ (.A1(\u_uart_core.u_rxfifo.mem[6][4] ),
-    .A2(_07239_),
-    .B1(_07245_),
-    .B2(_07242_),
+ sky130_fd_sc_hd__a22o_1 _10406_ (.A1(\u_uart_core.u_rxfifo.mem[6][4] ),
+    .A2(_06831_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_06833_),
     .X(_02187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11069_ (.A(_07238_),
-    .X(_07246_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10407_ (.A(_06830_),
+    .X(_06834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11070_ (.A(_05852_),
-    .X(_07247_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10408_ (.A(_06832_),
+    .X(_06835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11071_ (.A(_07241_),
-    .X(_07248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11072_ (.A1(\u_uart_core.u_rxfifo.mem[6][3] ),
-    .A2(_07246_),
-    .B1(_07247_),
-    .B2(_07248_),
+ sky130_fd_sc_hd__a22o_1 _10409_ (.A1(\u_uart_core.u_rxfifo.mem[6][3] ),
+    .A2(_06834_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_06835_),
     .X(_02186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11073_ (.A(_05855_),
-    .X(_07249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11074_ (.A1(\u_uart_core.u_rxfifo.mem[6][2] ),
-    .A2(_07246_),
-    .B1(_07249_),
-    .B2(_07248_),
+ sky130_fd_sc_hd__a22o_1 _10410_ (.A1(\u_uart_core.u_rxfifo.mem[6][2] ),
+    .A2(_06834_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_06835_),
     .X(_02185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11075_ (.A(_05857_),
-    .X(_07250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11076_ (.A1(\u_uart_core.u_rxfifo.mem[6][1] ),
-    .A2(_07246_),
-    .B1(_07250_),
-    .B2(_07248_),
+ sky130_fd_sc_hd__a22o_1 _10411_ (.A1(\u_uart_core.u_rxfifo.mem[6][1] ),
+    .A2(_06834_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_06835_),
     .X(_02184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11077_ (.A(_05859_),
-    .X(_07251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11078_ (.A1(\u_uart_core.u_rxfifo.mem[6][0] ),
-    .A2(_07246_),
-    .B1(_07251_),
-    .B2(_07248_),
+ sky130_fd_sc_hd__a22o_1 _10412_ (.A1(\u_uart_core.u_rxfifo.mem[6][0] ),
+    .A2(_06834_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_06835_),
     .X(_02183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11079_ (.A1(\u_uart_core.u_rxfifo.mem[15][7] ),
-    .A2(_06942_),
-    .B1(_07240_),
-    .B2(_06945_),
+ sky130_fd_sc_hd__a22o_1 _10413_ (.A1(\u_uart_core.u_rxfifo.mem[15][7] ),
+    .A2(_06568_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_06571_),
     .X(_02182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11080_ (.A1(\u_uart_core.u_rxfifo.mem[15][6] ),
-    .A2(_06942_),
-    .B1(_07243_),
-    .B2(_06945_),
+ sky130_fd_sc_hd__a22o_1 _10414_ (.A1(\u_uart_core.u_rxfifo.mem[15][6] ),
+    .A2(_06568_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_06571_),
     .X(_02181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11081_ (.A1(\u_uart_core.u_rxfifo.mem[15][5] ),
-    .A2(_06942_),
-    .B1(_07244_),
-    .B2(_06945_),
+ sky130_fd_sc_hd__a22o_1 _10415_ (.A1(\u_uart_core.u_rxfifo.mem[15][5] ),
+    .A2(_06568_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_06571_),
     .X(_02180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11082_ (.A(_06941_),
-    .X(_07252_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10416_ (.A(_06567_),
+    .X(_06836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11083_ (.A(_06944_),
-    .X(_07253_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10417_ (.A(_06570_),
+    .X(_06837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11084_ (.A1(\u_uart_core.u_rxfifo.mem[15][4] ),
-    .A2(_07252_),
-    .B1(_07245_),
-    .B2(_07253_),
+ sky130_fd_sc_hd__a22o_1 _10418_ (.A1(\u_uart_core.u_rxfifo.mem[15][4] ),
+    .A2(_06836_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_06837_),
     .X(_02179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11085_ (.A1(\u_uart_core.u_rxfifo.mem[15][3] ),
-    .A2(_07252_),
-    .B1(_07247_),
-    .B2(_07253_),
+ sky130_fd_sc_hd__a22o_1 _10419_ (.A1(\u_uart_core.u_rxfifo.mem[15][3] ),
+    .A2(_06836_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_06837_),
     .X(_02178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11086_ (.A1(\u_uart_core.u_rxfifo.mem[15][2] ),
-    .A2(_07252_),
-    .B1(_07249_),
-    .B2(_07253_),
+ sky130_fd_sc_hd__a22o_1 _10420_ (.A1(\u_uart_core.u_rxfifo.mem[15][2] ),
+    .A2(_06836_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_06837_),
     .X(_02177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11087_ (.A1(\u_uart_core.u_rxfifo.mem[15][1] ),
-    .A2(_07252_),
-    .B1(_07250_),
-    .B2(_07253_),
+ sky130_fd_sc_hd__a22o_1 _10421_ (.A1(\u_uart_core.u_rxfifo.mem[15][1] ),
+    .A2(_06836_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_06837_),
     .X(_02176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11088_ (.A1(\u_uart_core.u_rxfifo.mem[15][0] ),
-    .A2(_06941_),
-    .B1(_07251_),
-    .B2(_06944_),
+ sky130_fd_sc_hd__a22o_1 _10422_ (.A1(\u_uart_core.u_rxfifo.mem[15][0] ),
+    .A2(_06567_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_06570_),
     .X(_02175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11089_ (.A1(\u_uart_core.u_txfifo.mem[15][7] ),
-    .A2(_06997_),
-    .B1(_07214_),
-    .B2(_07000_),
+ sky130_fd_sc_hd__a22o_1 _10423_ (.A1(\u_uart_core.u_txfifo.mem[15][7] ),
+    .A2(_06621_),
+    .B1(net39),
+    .B2(_06624_),
     .X(_02174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11090_ (.A1(\u_uart_core.u_txfifo.mem[15][6] ),
-    .A2(_06997_),
-    .B1(_07218_),
-    .B2(_07000_),
+ sky130_fd_sc_hd__a22o_1 _10424_ (.A1(\u_uart_core.u_txfifo.mem[15][6] ),
+    .A2(_06621_),
+    .B1(net38),
+    .B2(_06624_),
     .X(_02173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11091_ (.A(_06999_),
-    .X(_07254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11092_ (.A1(\u_uart_core.u_txfifo.mem[15][5] ),
-    .A2(_06997_),
-    .B1(_07220_),
-    .B2(_07254_),
+ sky130_fd_sc_hd__a22o_1 _10425_ (.A1(\u_uart_core.u_txfifo.mem[15][5] ),
+    .A2(_06621_),
+    .B1(net37),
+    .B2(_06624_),
     .X(_02172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11093_ (.A(_06996_),
-    .X(_07255_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10426_ (.A(_06620_),
+    .X(_06838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11094_ (.A1(\u_uart_core.u_txfifo.mem[15][4] ),
-    .A2(_07255_),
-    .B1(_07182_),
-    .B2(_07254_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10427_ (.A(_06623_),
+    .X(_06839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _10428_ (.A1(\u_uart_core.u_txfifo.mem[15][4] ),
+    .A2(_06838_),
+    .B1(net36),
+    .B2(_06839_),
     .X(_02171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11095_ (.A(_05635_),
-    .X(_07256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11096_ (.A1(\u_uart_core.u_txfifo.mem[15][3] ),
-    .A2(_07255_),
-    .B1(_07256_),
-    .B2(_07254_),
+ sky130_fd_sc_hd__a22o_1 _10429_ (.A1(\u_uart_core.u_txfifo.mem[15][3] ),
+    .A2(_06838_),
+    .B1(net35),
+    .B2(_06839_),
     .X(_02170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11097_ (.A(_07042_),
-    .X(_07257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11098_ (.A1(\u_uart_core.u_txfifo.mem[15][2] ),
-    .A2(_07255_),
-    .B1(_07257_),
-    .B2(_07254_),
+ sky130_fd_sc_hd__a22o_1 _10430_ (.A1(\u_uart_core.u_txfifo.mem[15][2] ),
+    .A2(_06838_),
+    .B1(net32),
+    .B2(_06839_),
     .X(_02169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11099_ (.A(_07045_),
-    .X(_07258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11100_ (.A1(\u_uart_core.u_txfifo.mem[15][1] ),
-    .A2(_07255_),
-    .B1(_07258_),
-    .B2(_06999_),
+ sky130_fd_sc_hd__a22o_1 _10431_ (.A1(\u_uart_core.u_txfifo.mem[15][1] ),
+    .A2(_06838_),
+    .B1(net25),
+    .B2(_06839_),
     .X(_02168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11101_ (.A(_07047_),
-    .X(_07259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11102_ (.A1(\u_uart_core.u_txfifo.mem[15][0] ),
-    .A2(_06996_),
-    .B1(_07259_),
-    .B2(_06999_),
+ sky130_fd_sc_hd__a22o_1 _10432_ (.A1(\u_uart_core.u_txfifo.mem[15][0] ),
+    .A2(_06620_),
+    .B1(net14),
+    .B2(_06623_),
     .X(_02167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11103_ (.A(_07002_),
-    .X(_07260_),
+ sky130_fd_sc_hd__or3_2 _10433_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
+    .B(\u_uart_core.u_txfifo.wr_ptr[0] ),
+    .C(_06070_),
+    .X(_06840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _11104_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
-    .B(_07137_),
-    .C(_06388_),
-    .X(_07261_),
+ sky130_fd_sc_hd__or3_2 _10434_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .C(_06840_),
+    .X(_06841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _11105_ (.A(_07260_),
-    .B(_07210_),
-    .C(_07261_),
-    .X(_07262_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10435_ (.A(_06841_),
+    .X(_06842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11106_ (.A(_07262_),
-    .X(_07263_),
+ sky130_fd_sc_hd__inv_2 _10436_ (.A(_06841_),
+    .Y(_06843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11107_ (.A(_07262_),
-    .Y(_07264_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10437_ (.A(_06843_),
+    .X(_06844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11108_ (.A(_07264_),
-    .X(_07265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11109_ (.A1(\u_uart_core.u_txfifo.mem[0][7] ),
-    .A2(_07263_),
-    .B1(_07214_),
-    .B2(_07265_),
+ sky130_fd_sc_hd__a22o_1 _10438_ (.A1(\u_uart_core.u_txfifo.mem[0][7] ),
+    .A2(_06842_),
+    .B1(net39),
+    .B2(_06844_),
     .X(_02166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11110_ (.A1(\u_uart_core.u_txfifo.mem[0][6] ),
-    .A2(_07263_),
-    .B1(_07218_),
-    .B2(_07265_),
+ sky130_fd_sc_hd__a22o_1 _10439_ (.A1(\u_uart_core.u_txfifo.mem[0][6] ),
+    .A2(_06842_),
+    .B1(net38),
+    .B2(_06844_),
     .X(_02165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11111_ (.A1(\u_uart_core.u_txfifo.mem[0][5] ),
-    .A2(_07263_),
-    .B1(_07220_),
-    .B2(_07265_),
+ sky130_fd_sc_hd__a22o_1 _10440_ (.A1(\u_uart_core.u_txfifo.mem[0][5] ),
+    .A2(_06842_),
+    .B1(net37),
+    .B2(_06844_),
     .X(_02164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11112_ (.A(_06900_),
-    .X(_07266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11113_ (.A1(\u_uart_core.u_txfifo.mem[0][4] ),
-    .A2(_07263_),
-    .B1(_07266_),
-    .B2(_07265_),
+ sky130_fd_sc_hd__a22o_1 _10441_ (.A1(\u_uart_core.u_txfifo.mem[0][4] ),
+    .A2(_06842_),
+    .B1(net36),
+    .B2(_06844_),
     .X(_02163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11114_ (.A(_07262_),
-    .X(_07267_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10442_ (.A(_06841_),
+    .X(_06845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11115_ (.A(_07264_),
-    .X(_07268_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10443_ (.A(_06843_),
+    .X(_06846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11116_ (.A1(\u_uart_core.u_txfifo.mem[0][3] ),
-    .A2(_07267_),
-    .B1(_07256_),
-    .B2(_07268_),
+ sky130_fd_sc_hd__a22o_1 _10444_ (.A1(\u_uart_core.u_txfifo.mem[0][3] ),
+    .A2(_06845_),
+    .B1(net35),
+    .B2(_06846_),
     .X(_02162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11117_ (.A1(\u_uart_core.u_txfifo.mem[0][2] ),
-    .A2(_07267_),
-    .B1(_07257_),
-    .B2(_07268_),
+ sky130_fd_sc_hd__a22o_1 _10445_ (.A1(\u_uart_core.u_txfifo.mem[0][2] ),
+    .A2(_06845_),
+    .B1(net32),
+    .B2(_06846_),
     .X(_02161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11118_ (.A1(\u_uart_core.u_txfifo.mem[0][1] ),
-    .A2(_07267_),
-    .B1(_07258_),
-    .B2(_07268_),
+ sky130_fd_sc_hd__a22o_1 _10446_ (.A1(\u_uart_core.u_txfifo.mem[0][1] ),
+    .A2(_06845_),
+    .B1(net25),
+    .B2(_06846_),
     .X(_02160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11119_ (.A1(\u_uart_core.u_txfifo.mem[0][0] ),
-    .A2(_07267_),
-    .B1(_07259_),
-    .B2(_07268_),
+ sky130_fd_sc_hd__a22o_1 _10447_ (.A1(\u_uart_core.u_txfifo.mem[0][0] ),
+    .A2(_06845_),
+    .B1(net14),
+    .B2(_06846_),
     .X(_02159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11120_ (.A(_05766_),
-    .X(_07269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11121_ (.A(_07269_),
-    .X(_07270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11122_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][42] ),
-    .A2(_05558_),
-    .B1(_07270_),
-    .B2(_05691_),
+ sky130_fd_sc_hd__a22o_1 _10448_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][42] ),
+    .A2(_05325_),
+    .B1(net11),
+    .B2(_05429_),
     .X(_02158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11123_ (.A(_05620_),
-    .X(_07271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11124_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ),
-    .A2(_05558_),
-    .B1(_07271_),
-    .B2(_05691_),
+ sky130_fd_sc_hd__a22o_1 _10449_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ),
+    .A2(_05325_),
+    .B1(net10),
+    .B2(_05429_),
     .X(_02157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11125_ (.A(_05557_),
-    .X(_07272_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10450_ (.A(_05324_),
+    .X(_06847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11126_ (.A(_05616_),
-    .X(_07273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11127_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][40] ),
-    .A2(_07272_),
-    .B1(_07273_),
-    .B2(_05691_),
+ sky130_fd_sc_hd__a22o_1 _10451_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][40] ),
+    .A2(_06847_),
+    .B1(net9),
+    .B2(_05429_),
     .X(_02156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11128_ (.A(_05644_),
-    .X(_07274_),
+ sky130_fd_sc_hd__clkbuf_2 _10452_ (.A(_05320_),
+    .X(_06848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11129_ (.A(_05555_),
-    .X(_07275_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10453_ (.A(_06848_),
+    .X(_06849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11130_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
-    .A2(_07272_),
-    .B1(_07274_),
-    .B2(_07275_),
+ sky130_fd_sc_hd__a22o_1 _10454_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
+    .A2(_06847_),
+    .B1(net8),
+    .B2(_06849_),
     .X(_02155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _11131_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
-    .A2(_05555_),
-    .B1(_06381_),
-    .B2(_05558_),
+ sky130_fd_sc_hd__o22a_1 _10455_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
+    .A2(_05322_),
+    .B1(net135),
+    .B2(_05325_),
     .X(_02154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11132_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
-    .A2(_07272_),
+ sky130_fd_sc_hd__a22o_1 _10456_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
+    .A2(_06847_),
     .B1(net34),
-    .B2(_07275_),
+    .B2(_06849_),
     .X(_02153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11133_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
-    .A2(_07272_),
+ sky130_fd_sc_hd__a22o_1 _10457_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
+    .A2(_06847_),
     .B1(net33),
-    .B2(_07275_),
+    .B2(_06849_),
     .X(_02152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11134_ (.A(_05556_),
-    .X(_07276_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10458_ (.A(_05323_),
+    .X(_06850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11135_ (.A(_07276_),
-    .X(_07277_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10459_ (.A(_06850_),
+    .X(_06851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11136_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ),
-    .A2(_07277_),
+ sky130_fd_sc_hd__a22o_1 _10460_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ),
+    .A2(_06851_),
     .B1(net31),
-    .B2(_07275_),
+    .B2(_06849_),
     .X(_02151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11137_ (.A(_05690_),
-    .X(_07278_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10461_ (.A(_06848_),
+    .X(_06852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11138_ (.A(_07278_),
-    .X(_07279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11139_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ),
-    .A2(_07277_),
+ sky130_fd_sc_hd__a22o_1 _10462_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ),
+    .A2(_06851_),
     .B1(net30),
-    .B2(_07279_),
+    .B2(_06852_),
     .X(_02150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11140_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
-    .A2(_07277_),
+ sky130_fd_sc_hd__a22o_1 _10463_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
+    .A2(_06851_),
     .B1(net29),
-    .B2(_07279_),
+    .B2(_06852_),
     .X(_02149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11141_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
-    .A2(_07277_),
+ sky130_fd_sc_hd__a22o_1 _10464_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
+    .A2(_06851_),
     .B1(net28),
-    .B2(_07279_),
+    .B2(_06852_),
     .X(_02148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11142_ (.A(_07276_),
-    .X(_07280_),
+ sky130_fd_sc_hd__clkbuf_2 _10465_ (.A(_06850_),
+    .X(_06853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11143_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
-    .A2(_07280_),
+ sky130_fd_sc_hd__a22o_1 _10466_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
+    .A2(_06853_),
     .B1(net27),
-    .B2(_07279_),
+    .B2(_06852_),
     .X(_02147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11144_ (.A(_07278_),
-    .X(_07281_),
+ sky130_fd_sc_hd__clkbuf_2 _10467_ (.A(_06848_),
+    .X(_06854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11145_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
-    .A2(_07280_),
+ sky130_fd_sc_hd__a22o_1 _10468_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
+    .A2(_06853_),
     .B1(net26),
-    .B2(_07281_),
+    .B2(_06854_),
     .X(_02146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11146_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
-    .A2(_07280_),
+ sky130_fd_sc_hd__a22o_1 _10469_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
+    .A2(_06853_),
     .B1(net24),
-    .B2(_07281_),
+    .B2(_06854_),
     .X(_02145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11147_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
-    .A2(_07280_),
+ sky130_fd_sc_hd__a22o_1 _10470_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
+    .A2(_06853_),
     .B1(net23),
-    .B2(_07281_),
+    .B2(_06854_),
     .X(_02144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11148_ (.A(_07276_),
-    .X(_07282_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10471_ (.A(_06850_),
+    .X(_06855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11149_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
-    .A2(_07282_),
+ sky130_fd_sc_hd__a22o_1 _10472_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
+    .A2(_06855_),
     .B1(net22),
-    .B2(_07281_),
+    .B2(_06854_),
     .X(_02143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11150_ (.A(_07278_),
-    .X(_07283_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10473_ (.A(_06848_),
+    .X(_06856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11151_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
-    .A2(_07282_),
+ sky130_fd_sc_hd__a22o_1 _10474_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
+    .A2(_06855_),
     .B1(net21),
-    .B2(_07283_),
+    .B2(_06856_),
     .X(_02142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11152_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
-    .A2(_07282_),
+ sky130_fd_sc_hd__a22o_1 _10475_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
+    .A2(_06855_),
     .B1(net20),
-    .B2(_07283_),
+    .B2(_06856_),
     .X(_02141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11153_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
-    .A2(_07282_),
+ sky130_fd_sc_hd__a22o_1 _10476_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
+    .A2(_06855_),
     .B1(net19),
-    .B2(_07283_),
+    .B2(_06856_),
     .X(_02140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11154_ (.A(_07276_),
-    .X(_07284_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10477_ (.A(_06850_),
+    .X(_06857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11155_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
-    .A2(_07284_),
+ sky130_fd_sc_hd__a22o_1 _10478_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
+    .A2(_06857_),
     .B1(net18),
-    .B2(_07283_),
+    .B2(_06856_),
     .X(_02139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11156_ (.A(_07278_),
-    .X(_07285_),
+ sky130_fd_sc_hd__clkbuf_2 _10479_ (.A(_05321_),
+    .X(_06858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11157_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
-    .A2(_07284_),
+ sky130_fd_sc_hd__a22o_1 _10480_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
+    .A2(_06857_),
     .B1(net17),
-    .B2(_07285_),
+    .B2(_06858_),
     .X(_02138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11158_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
-    .A2(_07284_),
+ sky130_fd_sc_hd__a22o_1 _10481_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
+    .A2(_06857_),
     .B1(net16),
-    .B2(_07285_),
+    .B2(_06858_),
     .X(_02137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11159_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
-    .A2(_07284_),
+ sky130_fd_sc_hd__a22o_1 _10482_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
+    .A2(_06857_),
     .B1(net15),
-    .B2(_07285_),
+    .B2(_06858_),
     .X(_02136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11160_ (.A(_05556_),
-    .X(_07286_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10483_ (.A(_05323_),
+    .X(_06859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11161_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
-    .A2(_07286_),
+ sky130_fd_sc_hd__a22o_1 _10484_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
+    .A2(_06859_),
     .B1(net41),
-    .B2(_07285_),
+    .B2(_06858_),
     .X(_02135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11162_ (.A(_05690_),
-    .X(_07287_),
+ sky130_fd_sc_hd__clkbuf_2 _10485_ (.A(_05321_),
+    .X(_06860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11163_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
-    .A2(_07286_),
+ sky130_fd_sc_hd__a22o_1 _10486_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
+    .A2(_06859_),
     .B1(net40),
-    .B2(_07287_),
+    .B2(_06860_),
     .X(_02134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11164_ (.A(_07213_),
-    .X(_07288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11165_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
-    .A2(_07286_),
-    .B1(_07288_),
-    .B2(_07287_),
+ sky130_fd_sc_hd__a22o_1 _10487_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
+    .A2(_06859_),
+    .B1(net39),
+    .B2(_06860_),
     .X(_02133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11166_ (.A(_07217_),
-    .X(_07289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11167_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
-    .A2(_07286_),
-    .B1(_07289_),
-    .B2(_07287_),
+ sky130_fd_sc_hd__a22o_1 _10488_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
+    .A2(_06859_),
+    .B1(net38),
+    .B2(_06860_),
     .X(_02132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11168_ (.A(_05556_),
-    .X(_07290_),
+ sky130_fd_sc_hd__clkbuf_2 _10489_ (.A(_05323_),
+    .X(_06861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11169_ (.A(_07219_),
-    .X(_07291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11170_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
-    .A2(_07290_),
-    .B1(_07291_),
-    .B2(_07287_),
+ sky130_fd_sc_hd__a22o_1 _10490_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
+    .A2(_06861_),
+    .B1(net37),
+    .B2(_06860_),
     .X(_02131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11171_ (.A(_05690_),
-    .X(_07292_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10491_ (.A(_05321_),
+    .X(_06862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11172_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
-    .A2(_07290_),
-    .B1(_07266_),
-    .B2(_07292_),
+ sky130_fd_sc_hd__a22o_1 _10492_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
+    .A2(_06861_),
+    .B1(net36),
+    .B2(_06862_),
     .X(_02130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11173_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
-    .A2(_07290_),
-    .B1(_07256_),
-    .B2(_07292_),
+ sky130_fd_sc_hd__a22o_1 _10493_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
+    .A2(_06861_),
+    .B1(net35),
+    .B2(_06862_),
     .X(_02129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11174_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
-    .A2(_07290_),
-    .B1(_07257_),
-    .B2(_07292_),
+ sky130_fd_sc_hd__a22o_1 _10494_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
+    .A2(_06861_),
+    .B1(net32),
+    .B2(_06862_),
     .X(_02128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11175_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
-    .A2(_05557_),
-    .B1(_07258_),
-    .B2(_07292_),
+ sky130_fd_sc_hd__a22o_1 _10495_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
+    .A2(_05324_),
+    .B1(net25),
+    .B2(_06862_),
     .X(_02127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11176_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
-    .A2(_05557_),
-    .B1(_07259_),
-    .B2(_05555_),
+ sky130_fd_sc_hd__a22o_1 _10496_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
+    .A2(_05324_),
+    .B1(net14),
+    .B2(_05322_),
     .X(_02126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11177_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
-    .B(_05597_),
-    .X(_07293_),
+ sky130_fd_sc_hd__or2_2 _10497_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
+    .B(_05361_),
+    .X(_06863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11178_ (.A(_07293_),
-    .X(_07294_),
+ sky130_fd_sc_hd__clkbuf_2 _10498_ (.A(_06863_),
+    .X(_06864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11179_ (.A(_07294_),
-    .X(_07295_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10499_ (.A(_06864_),
+    .X(_06865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11180_ (.A(_07293_),
-    .Y(_07296_),
+ sky130_fd_sc_hd__inv_2 _10500_ (.A(_06863_),
+    .Y(_06866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11181_ (.A(_07296_),
-    .X(_07297_),
+ sky130_fd_sc_hd__clkbuf_2 _10501_ (.A(_06866_),
+    .X(_06867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11182_ (.A(_07297_),
-    .X(_07298_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10502_ (.A(_06867_),
+    .X(_06868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11183_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
-    .A2(_07295_),
+ sky130_fd_sc_hd__a22o_1 _10503_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
+    .A2(_06865_),
     .B1(\u_usb_host.reg_rdata[31] ),
-    .B2(_07298_),
+    .B2(_06868_),
     .X(_02125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11184_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
-    .A2(_07295_),
+ sky130_fd_sc_hd__a22o_1 _10504_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
+    .A2(_06865_),
     .B1(\u_usb_host.reg_rdata[30] ),
-    .B2(_07298_),
+    .B2(_06868_),
     .X(_02124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11185_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
-    .A2(_07295_),
+ sky130_fd_sc_hd__a22o_1 _10505_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
+    .A2(_06865_),
     .B1(\u_usb_host.reg_rdata[29] ),
-    .B2(_07298_),
+    .B2(_06868_),
     .X(_02123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11186_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
-    .A2(_07295_),
+ sky130_fd_sc_hd__a22o_1 _10506_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
+    .A2(_06865_),
     .B1(\u_usb_host.reg_rdata[28] ),
-    .B2(_07298_),
+    .B2(_06868_),
     .X(_02122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11187_ (.A(_07294_),
-    .X(_07299_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10507_ (.A(_06864_),
+    .X(_06869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11188_ (.A(_07297_),
-    .X(_07300_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10508_ (.A(_06867_),
+    .X(_06870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11189_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
-    .A2(_07299_),
+ sky130_fd_sc_hd__a22o_1 _10509_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
+    .A2(_06869_),
     .B1(\u_usb_host.reg_rdata[27] ),
-    .B2(_07300_),
+    .B2(_06870_),
     .X(_02121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11190_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
-    .A2(_07299_),
+ sky130_fd_sc_hd__a22o_1 _10510_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
+    .A2(_06869_),
     .B1(\u_usb_host.reg_rdata[26] ),
-    .B2(_07300_),
+    .B2(_06870_),
     .X(_02120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11191_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
-    .A2(_07299_),
+ sky130_fd_sc_hd__a22o_1 _10511_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
+    .A2(_06869_),
     .B1(\u_usb_host.reg_rdata[25] ),
-    .B2(_07300_),
+    .B2(_06870_),
     .X(_02119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11192_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
-    .A2(_07299_),
+ sky130_fd_sc_hd__a22o_1 _10512_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
+    .A2(_06869_),
     .B1(\u_usb_host.reg_rdata[24] ),
-    .B2(_07300_),
+    .B2(_06870_),
     .X(_02118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11193_ (.A(_07294_),
-    .X(_07301_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10513_ (.A(_06864_),
+    .X(_06871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11194_ (.A(_07297_),
-    .X(_07302_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10514_ (.A(_06867_),
+    .X(_06872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11195_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
-    .A2(_07301_),
+ sky130_fd_sc_hd__a22o_1 _10515_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
+    .A2(_06871_),
     .B1(\u_usb_host.reg_rdata[23] ),
-    .B2(_07302_),
+    .B2(_06872_),
     .X(_02117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11196_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
-    .A2(_07301_),
+ sky130_fd_sc_hd__a22o_1 _10516_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
+    .A2(_06871_),
     .B1(\u_usb_host.reg_rdata[22] ),
-    .B2(_07302_),
+    .B2(_06872_),
     .X(_02116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11197_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
-    .A2(_07301_),
+ sky130_fd_sc_hd__a22o_1 _10517_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
+    .A2(_06871_),
     .B1(\u_usb_host.reg_rdata[21] ),
-    .B2(_07302_),
+    .B2(_06872_),
     .X(_02115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11198_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
-    .A2(_07301_),
+ sky130_fd_sc_hd__a22o_1 _10518_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
+    .A2(_06871_),
     .B1(\u_usb_host.reg_rdata[20] ),
-    .B2(_07302_),
+    .B2(_06872_),
     .X(_02114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11199_ (.A(_07294_),
-    .X(_07303_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10519_ (.A(_06864_),
+    .X(_06873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11200_ (.A(_07297_),
-    .X(_07304_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10520_ (.A(_06867_),
+    .X(_06874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11201_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
-    .A2(_07303_),
+ sky130_fd_sc_hd__a22o_1 _10521_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
+    .A2(_06873_),
     .B1(\u_usb_host.reg_rdata[19] ),
-    .B2(_07304_),
+    .B2(_06874_),
     .X(_02113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11202_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
-    .A2(_07303_),
+ sky130_fd_sc_hd__a22o_1 _10522_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
+    .A2(_06873_),
     .B1(\u_usb_host.reg_rdata[18] ),
-    .B2(_07304_),
+    .B2(_06874_),
     .X(_02112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11203_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
-    .A2(_07303_),
+ sky130_fd_sc_hd__a22o_1 _10523_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
+    .A2(_06873_),
     .B1(\u_usb_host.reg_rdata[17] ),
-    .B2(_07304_),
+    .B2(_06874_),
     .X(_02111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11204_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
-    .A2(_07303_),
+ sky130_fd_sc_hd__a22o_1 _10524_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
+    .A2(_06873_),
     .B1(\u_usb_host.reg_rdata[16] ),
-    .B2(_07304_),
+    .B2(_06874_),
     .X(_02110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11205_ (.A(_07293_),
-    .X(_07305_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10525_ (.A(_06863_),
+    .X(_06875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11206_ (.A(_07305_),
-    .X(_07306_),
+ sky130_fd_sc_hd__clkbuf_2 _10526_ (.A(_06875_),
+    .X(_06876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11207_ (.A(_07296_),
-    .X(_07307_),
+ sky130_fd_sc_hd__clkbuf_2 _10527_ (.A(_06866_),
+    .X(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11208_ (.A(_07307_),
-    .X(_07308_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10528_ (.A(_06877_),
+    .X(_06878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11209_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
-    .A2(_07306_),
+ sky130_fd_sc_hd__a22o_1 _10529_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
+    .A2(_06876_),
     .B1(\u_usb_host.reg_rdata[15] ),
-    .B2(_07308_),
+    .B2(_06878_),
     .X(_02109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11210_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
-    .A2(_07306_),
+ sky130_fd_sc_hd__a22o_1 _10530_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
+    .A2(_06876_),
     .B1(\u_usb_host.reg_rdata[14] ),
-    .B2(_07308_),
+    .B2(_06878_),
     .X(_02108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11211_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
-    .A2(_07306_),
+ sky130_fd_sc_hd__a22o_1 _10531_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
+    .A2(_06876_),
     .B1(\u_usb_host.reg_rdata[13] ),
-    .B2(_07308_),
+    .B2(_06878_),
     .X(_02107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11212_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
-    .A2(_07306_),
+ sky130_fd_sc_hd__a22o_1 _10532_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
+    .A2(_06876_),
     .B1(\u_usb_host.reg_rdata[12] ),
-    .B2(_07308_),
+    .B2(_06878_),
     .X(_02106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11213_ (.A(_07305_),
-    .X(_07309_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10533_ (.A(_06875_),
+    .X(_06879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11214_ (.A(_07307_),
-    .X(_07310_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10534_ (.A(_06877_),
+    .X(_06880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11215_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
-    .A2(_07309_),
+ sky130_fd_sc_hd__a22o_1 _10535_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
+    .A2(_06879_),
     .B1(\u_usb_host.reg_rdata[11] ),
-    .B2(_07310_),
+    .B2(_06880_),
     .X(_02105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11216_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
-    .A2(_07309_),
+ sky130_fd_sc_hd__a22o_1 _10536_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
+    .A2(_06879_),
     .B1(\u_usb_host.reg_rdata[10] ),
-    .B2(_07310_),
+    .B2(_06880_),
     .X(_02104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11217_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
-    .A2(_07309_),
+ sky130_fd_sc_hd__a22o_1 _10537_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
+    .A2(_06879_),
     .B1(\u_usb_host.reg_rdata[9] ),
-    .B2(_07310_),
+    .B2(_06880_),
     .X(_02103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11218_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
-    .A2(_07309_),
+ sky130_fd_sc_hd__a22o_1 _10538_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
+    .A2(_06879_),
     .B1(\u_usb_host.reg_rdata[8] ),
-    .B2(_07310_),
+    .B2(_06880_),
     .X(_02102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11219_ (.A(_07305_),
-    .X(_07311_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10539_ (.A(_06875_),
+    .X(_06881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11220_ (.A(_07307_),
-    .X(_07312_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10540_ (.A(_06877_),
+    .X(_06882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11221_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
-    .A2(_07311_),
+ sky130_fd_sc_hd__a22o_1 _10541_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
+    .A2(_06881_),
     .B1(\u_usb_host.reg_rdata[7] ),
-    .B2(_07312_),
+    .B2(_06882_),
     .X(_02101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11222_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
-    .A2(_07311_),
+ sky130_fd_sc_hd__a22o_1 _10542_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
+    .A2(_06881_),
     .B1(\u_usb_host.reg_rdata[6] ),
-    .B2(_07312_),
+    .B2(_06882_),
     .X(_02100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11223_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
-    .A2(_07311_),
+ sky130_fd_sc_hd__a22o_1 _10543_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
+    .A2(_06881_),
     .B1(\u_usb_host.reg_rdata[5] ),
-    .B2(_07312_),
+    .B2(_06882_),
     .X(_02099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11224_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
-    .A2(_07311_),
+ sky130_fd_sc_hd__a22o_1 _10544_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
+    .A2(_06881_),
     .B1(\u_usb_host.reg_rdata[4] ),
-    .B2(_07312_),
+    .B2(_06882_),
     .X(_02098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11225_ (.A(_07305_),
-    .X(_07313_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10545_ (.A(_06875_),
+    .X(_06883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11226_ (.A(_07307_),
-    .X(_07314_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10546_ (.A(_06877_),
+    .X(_06884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11227_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
-    .A2(_07313_),
+ sky130_fd_sc_hd__a22o_1 _10547_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
+    .A2(_06883_),
     .B1(\u_usb_host.reg_rdata[3] ),
-    .B2(_07314_),
+    .B2(_06884_),
     .X(_02097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11228_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
-    .A2(_07313_),
+ sky130_fd_sc_hd__a22o_1 _10548_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
+    .A2(_06883_),
     .B1(\u_usb_host.reg_rdata[2] ),
-    .B2(_07314_),
+    .B2(_06884_),
     .X(_02096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11229_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
-    .A2(_07313_),
+ sky130_fd_sc_hd__a22o_1 _10549_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
+    .A2(_06883_),
     .B1(\u_usb_host.reg_rdata[1] ),
-    .B2(_07314_),
+    .B2(_06884_),
     .X(_02095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11230_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
-    .A2(_07313_),
+ sky130_fd_sc_hd__a22o_1 _10550_ (.A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
+    .A2(_06883_),
     .B1(\u_usb_host.reg_rdata[0] ),
-    .B2(_07314_),
+    .B2(_06884_),
     .X(_02094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11231_ (.A(_07003_),
-    .B(_07012_),
-    .X(_07315_),
+ sky130_fd_sc_hd__or2_2 _10551_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(_06632_),
+    .X(_06885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11232_ (.A(_07315_),
-    .X(_07316_),
+ sky130_fd_sc_hd__clkbuf_2 _10552_ (.A(_06885_),
+    .X(_06886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11233_ (.A(_07315_),
-    .Y(_07317_),
+ sky130_fd_sc_hd__inv_2 _10553_ (.A(_06885_),
+    .Y(_06887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11234_ (.A(_07317_),
-    .X(_07318_),
+ sky130_fd_sc_hd__clkbuf_2 _10554_ (.A(_06887_),
+    .X(_06888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11235_ (.A1(\u_uart_core.u_txfifo.mem[7][7] ),
-    .A2(_07316_),
-    .B1(_07288_),
-    .B2(_07318_),
+ sky130_fd_sc_hd__a22o_1 _10555_ (.A1(\u_uart_core.u_txfifo.mem[7][7] ),
+    .A2(_06886_),
+    .B1(net39),
+    .B2(_06888_),
     .X(_02093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11236_ (.A1(\u_uart_core.u_txfifo.mem[7][6] ),
-    .A2(_07316_),
-    .B1(_07289_),
-    .B2(_07318_),
+ sky130_fd_sc_hd__a22o_1 _10556_ (.A1(\u_uart_core.u_txfifo.mem[7][6] ),
+    .A2(_06886_),
+    .B1(net38),
+    .B2(_06888_),
     .X(_02092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11237_ (.A1(\u_uart_core.u_txfifo.mem[7][5] ),
-    .A2(_07316_),
-    .B1(_07291_),
-    .B2(_07318_),
+ sky130_fd_sc_hd__a22o_1 _10557_ (.A1(\u_uart_core.u_txfifo.mem[7][5] ),
+    .A2(_06886_),
+    .B1(net37),
+    .B2(_06888_),
     .X(_02091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11238_ (.A1(\u_uart_core.u_txfifo.mem[7][4] ),
-    .A2(_07316_),
-    .B1(_07266_),
-    .B2(_07318_),
+ sky130_fd_sc_hd__a22o_1 _10558_ (.A1(\u_uart_core.u_txfifo.mem[7][4] ),
+    .A2(_06886_),
+    .B1(net36),
+    .B2(_06888_),
     .X(_02090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11239_ (.A(_07315_),
-    .X(_07319_),
+ sky130_fd_sc_hd__clkbuf_2 _10559_ (.A(_06885_),
+    .X(_06889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11240_ (.A(_07317_),
-    .X(_07320_),
+ sky130_fd_sc_hd__clkbuf_2 _10560_ (.A(_06887_),
+    .X(_06890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11241_ (.A1(\u_uart_core.u_txfifo.mem[7][3] ),
-    .A2(_07319_),
-    .B1(_07256_),
-    .B2(_07320_),
+ sky130_fd_sc_hd__a22o_1 _10561_ (.A1(\u_uart_core.u_txfifo.mem[7][3] ),
+    .A2(_06889_),
+    .B1(net35),
+    .B2(_06890_),
     .X(_02089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11242_ (.A1(\u_uart_core.u_txfifo.mem[7][2] ),
-    .A2(_07319_),
-    .B1(_07257_),
-    .B2(_07320_),
+ sky130_fd_sc_hd__a22o_1 _10562_ (.A1(\u_uart_core.u_txfifo.mem[7][2] ),
+    .A2(_06889_),
+    .B1(net32),
+    .B2(_06890_),
     .X(_02088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11243_ (.A1(\u_uart_core.u_txfifo.mem[7][1] ),
-    .A2(_07319_),
-    .B1(_07258_),
-    .B2(_07320_),
+ sky130_fd_sc_hd__a22o_1 _10563_ (.A1(\u_uart_core.u_txfifo.mem[7][1] ),
+    .A2(_06889_),
+    .B1(net25),
+    .B2(_06890_),
     .X(_02087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11244_ (.A1(\u_uart_core.u_txfifo.mem[7][0] ),
-    .A2(_07319_),
-    .B1(_07259_),
-    .B2(_07320_),
+ sky130_fd_sc_hd__a22o_1 _10564_ (.A1(\u_uart_core.u_txfifo.mem[7][0] ),
+    .A2(_06889_),
+    .B1(net14),
+    .B2(_06890_),
     .X(_02086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11245_ (.A(net89),
-    .Y(_07321_),
+ sky130_fd_sc_hd__inv_2 _10565_ (.A(net46),
+    .Y(_06891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11246_ (.A(_07321_),
-    .X(_07322_),
+ sky130_fd_sc_hd__buf_4 _10566_ (.A(_06891_),
+    .X(_06892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _11247_ (.A(_06675_),
-    .B(_06666_),
-    .C(_07322_),
-    .X(_07323_),
+ sky130_fd_sc_hd__or3_1 _10567_ (.A(_06333_),
+    .B(_06322_),
+    .C(_06892_),
+    .X(_06893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11248_ (.A(_07323_),
-    .X(_07324_),
+ sky130_fd_sc_hd__clkbuf_2 _10568_ (.A(_06893_),
+    .X(_06894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11249_ (.A(_07324_),
-    .X(_07325_),
+ sky130_fd_sc_hd__clkbuf_2 _10569_ (.A(_06894_),
+    .X(_06895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _11250_ (.A(_06668_),
-    .B(_06669_),
-    .C(_06619_),
-    .X(_07326_),
+ sky130_fd_sc_hd__or3_1 _10570_ (.A(_06324_),
+    .B(_06325_),
+    .C(_06285_),
+    .X(_06896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11251_ (.A(_06681_),
-    .B(_06671_),
-    .X(_07327_),
+ sky130_fd_sc_hd__or2_1 _10571_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .B(_06327_),
+    .X(_06897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11252_ (.A(_07326_),
-    .B(_07327_),
-    .X(_07328_),
+ sky130_fd_sc_hd__or2_1 _10572_ (.A(_06896_),
+    .B(_06897_),
+    .X(_06898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11253_ (.A(_07325_),
-    .B(_07328_),
-    .X(_07329_),
+ sky130_fd_sc_hd__or2_2 _10573_ (.A(_06895_),
+    .B(_06898_),
+    .X(_06899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11254_ (.A(_07329_),
-    .X(_07330_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10574_ (.A(_06899_),
+    .X(_06900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11255_ (.A(_06259_),
-    .X(_07331_),
+ sky130_fd_sc_hd__inv_2 _10575_ (.A(_06899_),
+    .Y(_06901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11256_ (.A(_07329_),
-    .Y(_07332_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10576_ (.A(_06901_),
+    .X(_06902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11257_ (.A(_07332_),
-    .X(_07333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11258_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
-    .A2(_07330_),
-    .B1(_07331_),
-    .B2(_07333_),
+ sky130_fd_sc_hd__a22o_1 _10577_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
+    .A2(_06900_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06902_),
     .X(_02085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11259_ (.A(_06260_),
-    .X(_07334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11260_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
-    .A2(_07330_),
-    .B1(_07334_),
-    .B2(_07333_),
+ sky130_fd_sc_hd__a22o_1 _10578_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
+    .A2(_06900_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06902_),
     .X(_02084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11261_ (.A(_06261_),
-    .X(_07335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11262_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
-    .A2(_07330_),
-    .B1(_07335_),
-    .B2(_07333_),
+ sky130_fd_sc_hd__a22o_1 _10579_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
+    .A2(_06900_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06902_),
     .X(_02083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11263_ (.A(_06265_),
-    .X(_07336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11264_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
-    .A2(_07330_),
-    .B1(_07336_),
-    .B2(_07333_),
+ sky130_fd_sc_hd__a22o_1 _10580_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
+    .A2(_06900_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06902_),
     .X(_02082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11265_ (.A(_07329_),
-    .X(_07337_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10581_ (.A(_06899_),
+    .X(_06903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11266_ (.A(_06266_),
-    .X(_07338_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10582_ (.A(_06901_),
+    .X(_06904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11267_ (.A(_07332_),
-    .X(_07339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11268_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
-    .A2(_07337_),
-    .B1(_07338_),
-    .B2(_07339_),
+ sky130_fd_sc_hd__a22o_1 _10583_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
+    .A2(_06903_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06904_),
     .X(_02081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11269_ (.A(_06267_),
-    .X(_07340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11270_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
-    .A2(_07337_),
-    .B1(_07340_),
-    .B2(_07339_),
+ sky130_fd_sc_hd__a22o_1 _10584_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
+    .A2(_06903_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06904_),
     .X(_02080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11271_ (.A(_06268_),
-    .X(_07341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11272_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
-    .A2(_07337_),
-    .B1(_07341_),
-    .B2(_07339_),
+ sky130_fd_sc_hd__a22o_1 _10585_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
+    .A2(_06903_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06904_),
     .X(_02079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11273_ (.A(_06269_),
-    .X(_07342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11274_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
-    .A2(_07337_),
-    .B1(_07342_),
-    .B2(_07339_),
+ sky130_fd_sc_hd__a22o_1 _10586_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
+    .A2(_06903_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06904_),
     .X(_02078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11275_ (.A(_06673_),
-    .X(_07343_),
+ sky130_fd_sc_hd__clkbuf_1 _10587_ (.A(_06285_),
+    .X(_06905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11276_ (.A(_06619_),
-    .B(_07323_),
-    .X(_07344_),
+ sky130_fd_sc_hd__or2_1 _10588_ (.A(_06905_),
+    .B(_06893_),
+    .X(_06906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11277_ (.A(_07344_),
-    .X(_07345_),
+ sky130_fd_sc_hd__buf_2 _10589_ (.A(_06906_),
+    .X(_06907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11278_ (.A(_07343_),
-    .B(_07345_),
-    .X(_07346_),
+ sky130_fd_sc_hd__or2_1 _10590_ (.A(_06330_),
+    .B(_06907_),
+    .X(_06908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11279_ (.A(_07346_),
-    .X(_07347_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10591_ (.A(_06908_),
+    .X(_06909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11280_ (.A(_07346_),
-    .Y(_07348_),
+ sky130_fd_sc_hd__inv_2 _10592_ (.A(_06908_),
+    .Y(_06910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11281_ (.A(_07348_),
-    .X(_07349_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10593_ (.A(_06910_),
+    .X(_06911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11282_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
-    .A2(_07347_),
-    .B1(_07331_),
-    .B2(_07349_),
+ sky130_fd_sc_hd__a22o_1 _10594_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
+    .A2(_06909_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06911_),
     .X(_02077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11283_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
-    .A2(_07347_),
-    .B1(_07334_),
-    .B2(_07349_),
+ sky130_fd_sc_hd__a22o_1 _10595_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
+    .A2(_06909_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06911_),
     .X(_02076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11284_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
-    .A2(_07347_),
-    .B1(_07335_),
-    .B2(_07349_),
+ sky130_fd_sc_hd__a22o_1 _10596_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
+    .A2(_06909_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06911_),
     .X(_02075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11285_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
-    .A2(_07347_),
-    .B1(_07336_),
-    .B2(_07349_),
+ sky130_fd_sc_hd__a22o_1 _10597_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
+    .A2(_06909_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06911_),
     .X(_02074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11286_ (.A(_07346_),
-    .X(_07350_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10598_ (.A(_06908_),
+    .X(_06912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11287_ (.A(_07348_),
-    .X(_07351_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10599_ (.A(_06910_),
+    .X(_06913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11288_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
-    .A2(_07350_),
-    .B1(_07338_),
-    .B2(_07351_),
+ sky130_fd_sc_hd__a22o_1 _10600_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
+    .A2(_06912_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06913_),
     .X(_02073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11289_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
-    .A2(_07350_),
-    .B1(_07340_),
-    .B2(_07351_),
+ sky130_fd_sc_hd__a22o_1 _10601_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+    .A2(_06912_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06913_),
     .X(_02072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11290_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
-    .A2(_07350_),
-    .B1(_07341_),
-    .B2(_07351_),
+ sky130_fd_sc_hd__a22o_1 _10602_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
+    .A2(_06912_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06913_),
     .X(_02071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11291_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
-    .A2(_07350_),
-    .B1(_07342_),
-    .B2(_07351_),
+ sky130_fd_sc_hd__a22o_1 _10603_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
+    .A2(_06912_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06913_),
     .X(_02070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11292_ (.A(_06670_),
-    .X(_07352_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10604_ (.A(_06326_),
+    .X(_06914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11293_ (.A(_07352_),
-    .B(_06683_),
-    .C(_07326_),
-    .X(_07353_),
+ sky130_fd_sc_hd__or3_2 _10605_ (.A(_06914_),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .C(_06896_),
+    .X(_06915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11294_ (.A(_07325_),
-    .B(_07353_),
-    .X(_07354_),
+ sky130_fd_sc_hd__or2_1 _10606_ (.A(_06895_),
+    .B(_06915_),
+    .X(_06916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11295_ (.A(_07354_),
-    .X(_07355_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10607_ (.A(_06916_),
+    .X(_06917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11296_ (.A(_07354_),
-    .Y(_07356_),
+ sky130_fd_sc_hd__inv_2 _10608_ (.A(_06916_),
+    .Y(_06918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11297_ (.A(_07356_),
-    .X(_07357_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10609_ (.A(_06918_),
+    .X(_06919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11298_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
-    .A2(_07355_),
-    .B1(_07331_),
-    .B2(_07357_),
+ sky130_fd_sc_hd__a22o_1 _10610_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
+    .A2(_06917_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06919_),
     .X(_02069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11299_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
-    .A2(_07355_),
-    .B1(_07334_),
-    .B2(_07357_),
+ sky130_fd_sc_hd__a22o_1 _10611_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
+    .A2(_06917_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06919_),
     .X(_02068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11300_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
-    .A2(_07355_),
-    .B1(_07335_),
-    .B2(_07357_),
+ sky130_fd_sc_hd__a22o_1 _10612_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
+    .A2(_06917_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06919_),
     .X(_02067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11301_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
-    .A2(_07355_),
-    .B1(_07336_),
-    .B2(_07357_),
+ sky130_fd_sc_hd__a22o_1 _10613_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
+    .A2(_06917_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06919_),
     .X(_02066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11302_ (.A(_07354_),
-    .X(_07358_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10614_ (.A(_06916_),
+    .X(_06920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11303_ (.A(_07356_),
-    .X(_07359_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10615_ (.A(_06918_),
+    .X(_06921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11304_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
-    .A2(_07358_),
-    .B1(_07338_),
-    .B2(_07359_),
+ sky130_fd_sc_hd__a22o_1 _10616_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
+    .A2(_06920_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06921_),
     .X(_02065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11305_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
-    .A2(_07358_),
-    .B1(_07340_),
-    .B2(_07359_),
+ sky130_fd_sc_hd__a22o_1 _10617_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
+    .A2(_06920_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06921_),
     .X(_02064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11306_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
-    .A2(_07358_),
-    .B1(_07341_),
-    .B2(_07359_),
+ sky130_fd_sc_hd__a22o_1 _10618_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
+    .A2(_06920_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06921_),
     .X(_02063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11307_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
-    .A2(_07358_),
-    .B1(_07342_),
-    .B2(_07359_),
+ sky130_fd_sc_hd__a22o_1 _10619_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
+    .A2(_06920_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06921_),
     .X(_02062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11308_ (.A(_07326_),
-    .B(_06696_),
-    .X(_07360_),
+ sky130_fd_sc_hd__or2_2 _10620_ (.A(_06896_),
+    .B(_06347_),
+    .X(_06922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11309_ (.A(_07325_),
-    .B(_07360_),
-    .X(_07361_),
+ sky130_fd_sc_hd__or2_2 _10621_ (.A(_06895_),
+    .B(_06922_),
+    .X(_06923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11310_ (.A(_07361_),
-    .X(_07362_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10622_ (.A(_06923_),
+    .X(_06924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11311_ (.A(_07361_),
-    .Y(_07363_),
+ sky130_fd_sc_hd__inv_2 _10623_ (.A(_06923_),
+    .Y(_06925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11312_ (.A(_07363_),
-    .X(_07364_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10624_ (.A(_06925_),
+    .X(_06926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11313_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
-    .A2(_07362_),
-    .B1(_07331_),
-    .B2(_07364_),
+ sky130_fd_sc_hd__a22o_1 _10625_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
+    .A2(_06924_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06926_),
     .X(_02061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11314_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
-    .A2(_07362_),
-    .B1(_07334_),
-    .B2(_07364_),
+ sky130_fd_sc_hd__a22o_1 _10626_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
+    .A2(_06924_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06926_),
     .X(_02060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11315_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
-    .A2(_07362_),
-    .B1(_07335_),
-    .B2(_07364_),
+ sky130_fd_sc_hd__a22o_1 _10627_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
+    .A2(_06924_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06926_),
     .X(_02059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11316_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
-    .A2(_07362_),
-    .B1(_07336_),
-    .B2(_07364_),
+ sky130_fd_sc_hd__a22o_1 _10628_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
+    .A2(_06924_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06926_),
     .X(_02058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11317_ (.A(_07361_),
-    .X(_07365_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10629_ (.A(_06923_),
+    .X(_06927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11318_ (.A(_07363_),
-    .X(_07366_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10630_ (.A(_06925_),
+    .X(_06928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11319_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
-    .A2(_07365_),
-    .B1(_07338_),
-    .B2(_07366_),
+ sky130_fd_sc_hd__a22o_1 _10631_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
+    .A2(_06927_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06928_),
     .X(_02057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11320_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
-    .A2(_07365_),
-    .B1(_07340_),
-    .B2(_07366_),
+ sky130_fd_sc_hd__a22o_1 _10632_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
+    .A2(_06927_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06928_),
     .X(_02056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11321_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
-    .A2(_07365_),
-    .B1(_07341_),
-    .B2(_07366_),
+ sky130_fd_sc_hd__a22o_1 _10633_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
+    .A2(_06927_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06928_),
     .X(_02055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11322_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
-    .A2(_07365_),
-    .B1(_07342_),
-    .B2(_07366_),
+ sky130_fd_sc_hd__a22o_1 _10634_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
+    .A2(_06927_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06928_),
     .X(_02054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11323_ (.A(_06668_),
+ sky130_fd_sc_hd__or2_1 _10635_ (.A(_06324_),
     .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
-    .X(_07367_),
+    .X(_06929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11324_ (.A(_06619_),
-    .X(_07368_),
+ sky130_fd_sc_hd__or3_4 _10636_ (.A(_06328_),
+    .B(_06929_),
+    .C(_06332_),
+    .X(_06930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11325_ (.A(_06672_),
-    .B(_07367_),
-    .C(_07368_),
-    .X(_07369_),
+ sky130_fd_sc_hd__or2_1 _10637_ (.A(_06895_),
+    .B(_06930_),
+    .X(_06931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11326_ (.A(_07325_),
-    .B(_07369_),
-    .X(_07370_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10638_ (.A(_06931_),
+    .X(_06932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11327_ (.A(_07370_),
-    .X(_07371_),
+ sky130_fd_sc_hd__inv_2 _10639_ (.A(_06931_),
+    .Y(_06933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11328_ (.A(_06259_),
-    .X(_07372_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10640_ (.A(_06933_),
+    .X(_06934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11329_ (.A(_07370_),
-    .Y(_07373_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11330_ (.A(_07373_),
-    .X(_07374_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11331_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
-    .A2(_07371_),
-    .B1(_07372_),
-    .B2(_07374_),
+ sky130_fd_sc_hd__a22o_1 _10641_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
+    .A2(_06932_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06934_),
     .X(_02053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11332_ (.A(_06260_),
-    .X(_07375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11333_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
-    .A2(_07371_),
-    .B1(_07375_),
-    .B2(_07374_),
+ sky130_fd_sc_hd__a22o_1 _10642_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
+    .A2(_06932_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06934_),
     .X(_02052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11334_ (.A(_06261_),
-    .X(_07376_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11335_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
-    .A2(_07371_),
-    .B1(_07376_),
-    .B2(_07374_),
+ sky130_fd_sc_hd__a22o_1 _10643_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
+    .A2(_06932_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06934_),
     .X(_02051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11336_ (.A(_06265_),
-    .X(_07377_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11337_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
-    .A2(_07371_),
-    .B1(_07377_),
-    .B2(_07374_),
+ sky130_fd_sc_hd__a22o_1 _10644_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
+    .A2(_06932_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06934_),
     .X(_02050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11338_ (.A(_07370_),
-    .X(_07378_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10645_ (.A(_06931_),
+    .X(_06935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11339_ (.A(_06266_),
-    .X(_07379_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10646_ (.A(_06933_),
+    .X(_06936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11340_ (.A(_07373_),
-    .X(_07380_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11341_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
-    .A2(_07378_),
-    .B1(_07379_),
-    .B2(_07380_),
+ sky130_fd_sc_hd__a22o_1 _10647_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
+    .A2(_06935_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06936_),
     .X(_02049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11342_ (.A(_06267_),
-    .X(_07381_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11343_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
-    .A2(_07378_),
-    .B1(_07381_),
-    .B2(_07380_),
+ sky130_fd_sc_hd__a22o_1 _10648_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
+    .A2(_06935_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06936_),
     .X(_02048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11344_ (.A(_06268_),
-    .X(_07382_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11345_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
-    .A2(_07378_),
-    .B1(_07382_),
-    .B2(_07380_),
+ sky130_fd_sc_hd__a22o_1 _10649_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
+    .A2(_06935_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06936_),
     .X(_02047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11346_ (.A(_06269_),
-    .X(_07383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11347_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
-    .A2(_07378_),
-    .B1(_07383_),
-    .B2(_07380_),
+ sky130_fd_sc_hd__a22o_1 _10650_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
+    .A2(_06935_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06936_),
     .X(_02046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11348_ (.A(_07352_),
-    .B(_06682_),
-    .C(_07367_),
-    .D(_07368_),
-    .X(_07384_),
+ sky130_fd_sc_hd__or4_4 _10651_ (.A(_06914_),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .C(_06929_),
+    .D(_06286_),
+    .X(_06937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11349_ (.A(_07324_),
-    .B(_07384_),
-    .X(_07385_),
+ sky130_fd_sc_hd__or2_2 _10652_ (.A(_06894_),
+    .B(_06937_),
+    .X(_06938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11350_ (.A(_07385_),
-    .X(_07386_),
+ sky130_fd_sc_hd__clkbuf_1 _10653_ (.A(_06938_),
+    .X(_06939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11351_ (.A(_07385_),
-    .Y(_07387_),
+ sky130_fd_sc_hd__inv_2 _10654_ (.A(_06938_),
+    .Y(_06940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11352_ (.A(_07387_),
-    .X(_07388_),
+ sky130_fd_sc_hd__clkbuf_1 _10655_ (.A(_06940_),
+    .X(_06941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11353_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
-    .A2(_07386_),
-    .B1(_07372_),
-    .B2(_07388_),
+ sky130_fd_sc_hd__a22o_1 _10656_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
+    .A2(_06939_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06941_),
     .X(_02045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11354_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
-    .A2(_07386_),
-    .B1(_07375_),
-    .B2(_07388_),
+ sky130_fd_sc_hd__a22o_1 _10657_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
+    .A2(_06939_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06941_),
     .X(_02044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11355_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
-    .A2(_07386_),
-    .B1(_07376_),
-    .B2(_07388_),
+ sky130_fd_sc_hd__a22o_1 _10658_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
+    .A2(_06939_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06941_),
     .X(_02043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11356_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
-    .A2(_07386_),
-    .B1(_07377_),
-    .B2(_07388_),
+ sky130_fd_sc_hd__a22o_1 _10659_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
+    .A2(_06939_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06941_),
     .X(_02042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11357_ (.A(_07385_),
-    .X(_07389_),
+ sky130_fd_sc_hd__clkbuf_1 _10660_ (.A(_06938_),
+    .X(_06942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11358_ (.A(_07387_),
-    .X(_07390_),
+ sky130_fd_sc_hd__clkbuf_1 _10661_ (.A(_06940_),
+    .X(_06943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11359_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
-    .A2(_07389_),
-    .B1(_07379_),
-    .B2(_07390_),
+ sky130_fd_sc_hd__a22o_1 _10662_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
+    .A2(_06942_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06943_),
     .X(_02041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11360_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
-    .A2(_07389_),
-    .B1(_07381_),
-    .B2(_07390_),
+ sky130_fd_sc_hd__a22o_1 _10663_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
+    .A2(_06942_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06943_),
     .X(_02040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11361_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
-    .A2(_07389_),
-    .B1(_07382_),
-    .B2(_07390_),
+ sky130_fd_sc_hd__a22o_1 _10664_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
+    .A2(_06942_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06943_),
     .X(_02039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11362_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
-    .A2(_07389_),
-    .B1(_07383_),
-    .B2(_07390_),
+ sky130_fd_sc_hd__a22o_1 _10665_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
+    .A2(_06942_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06943_),
     .X(_02038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11363_ (.A(_07327_),
-    .B(_07367_),
-    .C(_07368_),
-    .X(_07391_),
+ sky130_fd_sc_hd__or3_4 _10666_ (.A(_06897_),
+    .B(_06929_),
+    .C(_06286_),
+    .X(_06944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11364_ (.A(_07324_),
-    .B(_07391_),
-    .X(_07392_),
+ sky130_fd_sc_hd__or2_2 _10667_ (.A(_06894_),
+    .B(_06944_),
+    .X(_06945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11365_ (.A(_07392_),
-    .X(_07393_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10668_ (.A(_06945_),
+    .X(_06946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11366_ (.A(_07392_),
-    .Y(_07394_),
+ sky130_fd_sc_hd__inv_2 _10669_ (.A(_06945_),
+    .Y(_06947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11367_ (.A(_07394_),
-    .X(_07395_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10670_ (.A(_06947_),
+    .X(_06948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11368_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
-    .A2(_07393_),
-    .B1(_07372_),
-    .B2(_07395_),
+ sky130_fd_sc_hd__a22o_1 _10671_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
+    .A2(_06946_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06948_),
     .X(_02037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11369_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
-    .A2(_07393_),
-    .B1(_07375_),
-    .B2(_07395_),
+ sky130_fd_sc_hd__a22o_1 _10672_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
+    .A2(_06946_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06948_),
     .X(_02036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11370_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
-    .A2(_07393_),
-    .B1(_07376_),
-    .B2(_07395_),
+ sky130_fd_sc_hd__a22o_1 _10673_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
+    .A2(_06946_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06948_),
     .X(_02035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11371_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
-    .A2(_07393_),
-    .B1(_07377_),
-    .B2(_07395_),
+ sky130_fd_sc_hd__a22o_1 _10674_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
+    .A2(_06946_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06948_),
     .X(_02034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11372_ (.A(_07392_),
-    .X(_07396_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10675_ (.A(_06945_),
+    .X(_06949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11373_ (.A(_07394_),
-    .X(_07397_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10676_ (.A(_06947_),
+    .X(_06950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11374_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
-    .A2(_07396_),
-    .B1(_07379_),
-    .B2(_07397_),
+ sky130_fd_sc_hd__a22o_1 _10677_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
+    .A2(_06949_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06950_),
     .X(_02033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11375_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
-    .A2(_07396_),
-    .B1(_07381_),
-    .B2(_07397_),
+ sky130_fd_sc_hd__a22o_1 _10678_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
+    .A2(_06949_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06950_),
     .X(_02032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11376_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
-    .A2(_07396_),
-    .B1(_07382_),
-    .B2(_07397_),
+ sky130_fd_sc_hd__a22o_1 _10679_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
+    .A2(_06949_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06950_),
     .X(_02031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11377_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
-    .A2(_07396_),
-    .B1(_07383_),
-    .B2(_07397_),
+ sky130_fd_sc_hd__a22o_1 _10680_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
+    .A2(_06949_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06950_),
     .X(_02030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11378_ (.A(_06695_),
-    .B(_07367_),
-    .C(_07368_),
-    .X(_07398_),
+ sky130_fd_sc_hd__or3_4 _10681_ (.A(_06346_),
+    .B(_06929_),
+    .C(_06286_),
+    .X(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11379_ (.A(_07324_),
-    .B(_07398_),
-    .X(_07399_),
+ sky130_fd_sc_hd__or2_1 _10682_ (.A(_06894_),
+    .B(_06951_),
+    .X(_06952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11380_ (.A(_07399_),
-    .X(_07400_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10683_ (.A(_06952_),
+    .X(_06953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11381_ (.A(_07399_),
-    .Y(_07401_),
+ sky130_fd_sc_hd__inv_2 _10684_ (.A(_06952_),
+    .Y(_06954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11382_ (.A(_07401_),
-    .X(_07402_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10685_ (.A(_06954_),
+    .X(_06955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11383_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
-    .A2(_07400_),
-    .B1(_07372_),
-    .B2(_07402_),
+ sky130_fd_sc_hd__a22o_1 _10686_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
+    .A2(_06953_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06955_),
     .X(_02029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11384_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
-    .A2(_07400_),
-    .B1(_07375_),
-    .B2(_07402_),
+ sky130_fd_sc_hd__a22o_1 _10687_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
+    .A2(_06953_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06955_),
     .X(_02028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11385_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
-    .A2(_07400_),
-    .B1(_07376_),
-    .B2(_07402_),
+ sky130_fd_sc_hd__a22o_1 _10688_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
+    .A2(_06953_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06955_),
     .X(_02027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11386_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
-    .A2(_07400_),
-    .B1(_07377_),
-    .B2(_07402_),
+ sky130_fd_sc_hd__a22o_1 _10689_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
+    .A2(_06953_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06955_),
     .X(_02026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11387_ (.A(_07399_),
-    .X(_07403_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10690_ (.A(_06952_),
+    .X(_06956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11388_ (.A(_07401_),
-    .X(_07404_),
+ sky130_fd_sc_hd__clkbuf_1 _10691_ (.A(_06954_),
+    .X(_06957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11389_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
-    .A2(_07403_),
-    .B1(_07379_),
-    .B2(_07404_),
+ sky130_fd_sc_hd__a22o_1 _10692_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
+    .A2(_06956_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06957_),
     .X(_02025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11390_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
-    .A2(_07403_),
-    .B1(_07381_),
-    .B2(_07404_),
+ sky130_fd_sc_hd__a22o_1 _10693_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
+    .A2(_06956_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06957_),
     .X(_02024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11391_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
-    .A2(_07403_),
-    .B1(_07382_),
-    .B2(_07404_),
+ sky130_fd_sc_hd__a22o_1 _10694_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
+    .A2(_06956_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06957_),
     .X(_02023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11392_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
-    .A2(_07403_),
-    .B1(_07383_),
-    .B2(_07404_),
+ sky130_fd_sc_hd__a22o_1 _10695_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
+    .A2(_06956_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06957_),
     .X(_02022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11393_ (.A(_06687_),
-    .B(_06691_),
-    .C(_06692_),
-    .X(_07405_),
+ sky130_fd_sc_hd__or3_2 _10696_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B(_06342_),
+    .C(_06343_),
+    .X(_06958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11394_ (.A(_07405_),
-    .B(_07344_),
-    .X(_07406_),
+ sky130_fd_sc_hd__or2_2 _10697_ (.A(_06958_),
+    .B(_06906_),
+    .X(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11395_ (.A(_07406_),
-    .X(_07407_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10698_ (.A(_06959_),
+    .X(_06960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11396_ (.A(_06259_),
-    .X(_07408_),
+ sky130_fd_sc_hd__inv_2 _10699_ (.A(_06959_),
+    .Y(_06961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11397_ (.A(_07406_),
-    .Y(_07409_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10700_ (.A(_06961_),
+    .X(_06962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11398_ (.A(_07409_),
-    .X(_07410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11399_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
-    .A2(_07407_),
-    .B1(_07408_),
-    .B2(_07410_),
+ sky130_fd_sc_hd__a22o_1 _10701_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
+    .A2(_06960_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06962_),
     .X(_02021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11400_ (.A(_06260_),
-    .X(_07411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11401_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
-    .A2(_07407_),
-    .B1(_07411_),
-    .B2(_07410_),
+ sky130_fd_sc_hd__a22o_1 _10702_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
+    .A2(_06960_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06962_),
     .X(_02020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11402_ (.A(_06261_),
-    .X(_07412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11403_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
-    .A2(_07407_),
-    .B1(_07412_),
-    .B2(_07410_),
+ sky130_fd_sc_hd__a22o_1 _10703_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
+    .A2(_06960_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06962_),
     .X(_02019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11404_ (.A(_06265_),
-    .X(_07413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11405_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
-    .A2(_07407_),
-    .B1(_07413_),
-    .B2(_07410_),
+ sky130_fd_sc_hd__a22o_1 _10704_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
+    .A2(_06960_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06962_),
     .X(_02018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11406_ (.A(_07406_),
-    .X(_07414_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10705_ (.A(_06959_),
+    .X(_06963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11407_ (.A(_06266_),
-    .X(_07415_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10706_ (.A(_06961_),
+    .X(_06964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11408_ (.A(_07409_),
-    .X(_07416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11409_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
-    .A2(_07414_),
-    .B1(_07415_),
-    .B2(_07416_),
+ sky130_fd_sc_hd__a22o_1 _10707_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
+    .A2(_06963_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06964_),
     .X(_02017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11410_ (.A(_06267_),
-    .X(_07417_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11411_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
-    .A2(_07414_),
-    .B1(_07417_),
-    .B2(_07416_),
+ sky130_fd_sc_hd__a22o_1 _10708_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
+    .A2(_06963_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06964_),
     .X(_02016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11412_ (.A(_06268_),
-    .X(_07418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11413_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
-    .A2(_07414_),
-    .B1(_07418_),
-    .B2(_07416_),
+ sky130_fd_sc_hd__a22o_1 _10709_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
+    .A2(_06963_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06964_),
     .X(_02015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11414_ (.A(_06269_),
-    .X(_07419_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11415_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
-    .A2(_07414_),
-    .B1(_07419_),
-    .B2(_07416_),
+ sky130_fd_sc_hd__a22o_1 _10710_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
+    .A2(_06963_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06964_),
     .X(_02014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11416_ (.A(_07344_),
-    .X(_07420_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10711_ (.A(_06906_),
+    .X(_06965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11417_ (.A(_06686_),
-    .B(_06669_),
-    .C(_07352_),
-    .D(_06683_),
-    .X(_07421_),
+ sky130_fd_sc_hd__or4_4 _10712_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B(_06325_),
+    .C(_06914_),
+    .D(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .X(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11418_ (.A(_07420_),
-    .B(_07421_),
-    .X(_07422_),
+ sky130_fd_sc_hd__or2_1 _10713_ (.A(_06965_),
+    .B(_06966_),
+    .X(_06967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11419_ (.A(_07422_),
-    .X(_07423_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10714_ (.A(_06967_),
+    .X(_06968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11420_ (.A(_07422_),
-    .Y(_07424_),
+ sky130_fd_sc_hd__inv_2 _10715_ (.A(_06967_),
+    .Y(_06969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11421_ (.A(_07424_),
-    .X(_07425_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10716_ (.A(_06969_),
+    .X(_06970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11422_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ),
-    .A2(_07423_),
-    .B1(_07408_),
-    .B2(_07425_),
+ sky130_fd_sc_hd__a22o_1 _10717_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ),
+    .A2(_06968_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06970_),
     .X(_02013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11423_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
-    .A2(_07423_),
-    .B1(_07411_),
-    .B2(_07425_),
+ sky130_fd_sc_hd__a22o_1 _10718_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
+    .A2(_06968_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06970_),
     .X(_02012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11424_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
-    .A2(_07423_),
-    .B1(_07412_),
-    .B2(_07425_),
+ sky130_fd_sc_hd__a22o_1 _10719_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
+    .A2(_06968_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06970_),
     .X(_02011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11425_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
-    .A2(_07423_),
-    .B1(_07413_),
-    .B2(_07425_),
+ sky130_fd_sc_hd__a22o_1 _10720_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
+    .A2(_06968_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06970_),
     .X(_02010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11426_ (.A(_07422_),
-    .X(_07426_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10721_ (.A(_06967_),
+    .X(_06971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11427_ (.A(_07424_),
-    .X(_07427_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10722_ (.A(_06969_),
+    .X(_06972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11428_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
-    .A2(_07426_),
-    .B1(_07415_),
-    .B2(_07427_),
+ sky130_fd_sc_hd__a22o_1 _10723_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
+    .A2(_06971_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06972_),
     .X(_02009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11429_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
-    .A2(_07426_),
-    .B1(_07417_),
-    .B2(_07427_),
+ sky130_fd_sc_hd__a22o_1 _10724_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
+    .A2(_06971_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06972_),
     .X(_02008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11430_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
-    .A2(_07426_),
-    .B1(_07418_),
-    .B2(_07427_),
+ sky130_fd_sc_hd__a22o_1 _10725_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
+    .A2(_06971_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06972_),
     .X(_02007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11431_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
-    .A2(_07426_),
-    .B1(_07419_),
-    .B2(_07427_),
+ sky130_fd_sc_hd__a22o_1 _10726_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
+    .A2(_06971_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06972_),
     .X(_02006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11432_ (.A(_06687_),
-    .B(_06691_),
-    .C(_07327_),
-    .X(_07428_),
+ sky130_fd_sc_hd__or3_4 _10727_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B(_06342_),
+    .C(_06897_),
+    .X(_06973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11433_ (.A(_07420_),
-    .B(_07428_),
-    .X(_07429_),
+ sky130_fd_sc_hd__or2_2 _10728_ (.A(_06965_),
+    .B(_06973_),
+    .X(_06974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11434_ (.A(_07429_),
-    .X(_07430_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10729_ (.A(_06974_),
+    .X(_06975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11435_ (.A(_07429_),
-    .Y(_07431_),
+ sky130_fd_sc_hd__inv_2 _10730_ (.A(_06974_),
+    .Y(_06976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11436_ (.A(_07431_),
-    .X(_07432_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10731_ (.A(_06976_),
+    .X(_06977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11437_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
-    .A2(_07430_),
-    .B1(_07408_),
-    .B2(_07432_),
+ sky130_fd_sc_hd__a22o_1 _10732_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
+    .A2(_06975_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06977_),
     .X(_02005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11438_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
-    .A2(_07430_),
-    .B1(_07411_),
-    .B2(_07432_),
+ sky130_fd_sc_hd__a22o_1 _10733_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
+    .A2(_06975_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06977_),
     .X(_02004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11439_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
-    .A2(_07430_),
-    .B1(_07412_),
-    .B2(_07432_),
+ sky130_fd_sc_hd__a22o_1 _10734_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
+    .A2(_06975_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06977_),
     .X(_02003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11440_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
-    .A2(_07430_),
-    .B1(_07413_),
-    .B2(_07432_),
+ sky130_fd_sc_hd__a22o_1 _10735_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
+    .A2(_06975_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06977_),
     .X(_02002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11441_ (.A(_07429_),
-    .X(_07433_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10736_ (.A(_06974_),
+    .X(_06978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11442_ (.A(_07431_),
-    .X(_07434_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10737_ (.A(_06976_),
+    .X(_06979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11443_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
-    .A2(_07433_),
-    .B1(_07415_),
-    .B2(_07434_),
+ sky130_fd_sc_hd__a22o_1 _10738_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
+    .A2(_06978_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06979_),
     .X(_02001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11444_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
-    .A2(_07433_),
-    .B1(_07417_),
-    .B2(_07434_),
+ sky130_fd_sc_hd__a22o_1 _10739_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
+    .A2(_06978_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06979_),
     .X(_02000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11445_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
-    .A2(_07433_),
-    .B1(_07418_),
-    .B2(_07434_),
+ sky130_fd_sc_hd__a22o_1 _10740_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
+    .A2(_06978_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06979_),
     .X(_01999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11446_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
-    .A2(_07433_),
-    .B1(_07419_),
-    .B2(_07434_),
+ sky130_fd_sc_hd__a22o_1 _10741_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
+    .A2(_06978_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06979_),
     .X(_01998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11447_ (.A(_06686_),
-    .X(_07435_),
+ sky130_fd_sc_hd__or3_4 _10742_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B(_06342_),
+    .C(_06347_),
+    .X(_06980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11448_ (.A(_07435_),
-    .B(_06691_),
-    .C(_06696_),
-    .X(_07436_),
+ sky130_fd_sc_hd__or2_2 _10743_ (.A(_06965_),
+    .B(_06980_),
+    .X(_06981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11449_ (.A(_07420_),
-    .B(_07436_),
-    .X(_07437_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10744_ (.A(_06981_),
+    .X(_06982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11450_ (.A(_07437_),
-    .X(_07438_),
+ sky130_fd_sc_hd__inv_2 _10745_ (.A(_06981_),
+    .Y(_06983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11451_ (.A(_07437_),
-    .Y(_07439_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10746_ (.A(_06983_),
+    .X(_06984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11452_ (.A(_07439_),
-    .X(_07440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11453_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
-    .A2(_07438_),
-    .B1(_07408_),
-    .B2(_07440_),
+ sky130_fd_sc_hd__a22o_1 _10747_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
+    .A2(_06982_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06984_),
     .X(_01997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11454_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
-    .A2(_07438_),
-    .B1(_07411_),
-    .B2(_07440_),
+ sky130_fd_sc_hd__a22o_1 _10748_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
+    .A2(_06982_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06984_),
     .X(_01996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11455_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
-    .A2(_07438_),
-    .B1(_07412_),
-    .B2(_07440_),
+ sky130_fd_sc_hd__a22o_1 _10749_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
+    .A2(_06982_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06984_),
     .X(_01995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11456_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
-    .A2(_07438_),
-    .B1(_07413_),
-    .B2(_07440_),
+ sky130_fd_sc_hd__a22o_1 _10750_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
+    .A2(_06982_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06984_),
     .X(_01994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11457_ (.A(_07437_),
-    .X(_07441_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10751_ (.A(_06981_),
+    .X(_06985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11458_ (.A(_07439_),
-    .X(_07442_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10752_ (.A(_06983_),
+    .X(_06986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11459_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
-    .A2(_07441_),
-    .B1(_07415_),
-    .B2(_07442_),
+ sky130_fd_sc_hd__a22o_1 _10753_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
+    .A2(_06985_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06986_),
     .X(_01993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11460_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
-    .A2(_07441_),
-    .B1(_07417_),
-    .B2(_07442_),
+ sky130_fd_sc_hd__a22o_1 _10754_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
+    .A2(_06985_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06986_),
     .X(_01992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11461_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
-    .A2(_07441_),
-    .B1(_07418_),
-    .B2(_07442_),
+ sky130_fd_sc_hd__a22o_1 _10755_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
+    .A2(_06985_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06986_),
     .X(_01991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11462_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
-    .A2(_07441_),
-    .B1(_07419_),
-    .B2(_07442_),
+ sky130_fd_sc_hd__a22o_1 _10756_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
+    .A2(_06985_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06986_),
     .X(_01990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11463_ (.A(_07435_),
-    .B(_06685_),
-    .C(_06672_),
-    .X(_07443_),
+ sky130_fd_sc_hd__or3_4 _10757_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .C(_06328_),
+    .X(_06987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11464_ (.A(_07420_),
-    .B(_07443_),
-    .X(_07444_),
+ sky130_fd_sc_hd__or2_2 _10758_ (.A(_06965_),
+    .B(_06987_),
+    .X(_06988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11465_ (.A(_07444_),
-    .X(_07445_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10759_ (.A(_06988_),
+    .X(_06989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11466_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
-    .X(_07446_),
+ sky130_fd_sc_hd__inv_2 _10760_ (.A(_06988_),
+    .Y(_06990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11467_ (.A(_07446_),
-    .X(_07447_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10761_ (.A(_06990_),
+    .X(_06991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11468_ (.A(_07447_),
-    .X(_07448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11469_ (.A(_07444_),
-    .Y(_07449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11470_ (.A(_07449_),
-    .X(_07450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11471_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
-    .A2(_07445_),
-    .B1(_07448_),
-    .B2(_07450_),
+ sky130_fd_sc_hd__a22o_1 _10762_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
+    .A2(_06989_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06991_),
     .X(_01989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11472_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
-    .X(_07451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11473_ (.A(_07451_),
-    .X(_07452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11474_ (.A(_07452_),
-    .X(_07453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11475_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
-    .A2(_07445_),
-    .B1(_07453_),
-    .B2(_07450_),
+ sky130_fd_sc_hd__a22o_1 _10763_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
+    .A2(_06989_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06991_),
     .X(_01988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11476_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
-    .X(_07454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11477_ (.A(_07454_),
-    .X(_07455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11478_ (.A(_07455_),
-    .X(_07456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11479_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
-    .A2(_07445_),
-    .B1(_07456_),
-    .B2(_07450_),
+ sky130_fd_sc_hd__a22o_1 _10764_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
+    .A2(_06989_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06991_),
     .X(_01987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11480_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
-    .X(_07457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11481_ (.A(_07457_),
-    .X(_07458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11482_ (.A(_07458_),
-    .X(_07459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11483_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
-    .A2(_07445_),
-    .B1(_07459_),
-    .B2(_07450_),
+ sky130_fd_sc_hd__a22o_1 _10765_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
+    .A2(_06989_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06991_),
     .X(_01986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11484_ (.A(_07444_),
-    .X(_07460_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10766_ (.A(_06988_),
+    .X(_06992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11485_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
-    .X(_07461_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10767_ (.A(_06990_),
+    .X(_06993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11486_ (.A(_07461_),
-    .X(_07462_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11487_ (.A(_07462_),
-    .X(_07463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11488_ (.A(_07449_),
-    .X(_07464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11489_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
-    .A2(_07460_),
-    .B1(_07463_),
-    .B2(_07464_),
+ sky130_fd_sc_hd__a22o_1 _10768_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
+    .A2(_06992_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_06993_),
     .X(_01985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11490_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
-    .X(_07465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11491_ (.A(_07465_),
-    .X(_07466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11492_ (.A(_07466_),
-    .X(_07467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11493_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
-    .A2(_07460_),
-    .B1(_07467_),
-    .B2(_07464_),
+ sky130_fd_sc_hd__a22o_1 _10769_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
+    .A2(_06992_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_06993_),
     .X(_01984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11494_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
-    .X(_07468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11495_ (.A(_07468_),
-    .X(_07469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11496_ (.A(_07469_),
-    .X(_07470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11497_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
-    .A2(_07460_),
-    .B1(_07470_),
-    .B2(_07464_),
+ sky130_fd_sc_hd__a22o_1 _10770_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
+    .A2(_06992_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_06993_),
     .X(_01983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11498_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
-    .X(_07471_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11499_ (.A(_07471_),
-    .X(_07472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11500_ (.A(_07472_),
-    .X(_07473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11501_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
-    .A2(_07460_),
-    .B1(_07473_),
-    .B2(_07464_),
+ sky130_fd_sc_hd__a22o_1 _10771_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
+    .A2(_06992_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_06993_),
     .X(_01982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11502_ (.A(_07352_),
-    .B(_06682_),
-    .C(_06686_),
-    .D(_06684_),
-    .X(_07474_),
+ sky130_fd_sc_hd__or4_4 _10772_ (.A(_06914_),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .C(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .X(_06994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11503_ (.A(_07345_),
-    .B(_07474_),
-    .X(_07475_),
+ sky130_fd_sc_hd__or2_2 _10773_ (.A(_06907_),
+    .B(_06994_),
+    .X(_06995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11504_ (.A(_07475_),
-    .X(_07476_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10774_ (.A(_06995_),
+    .X(_06996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11505_ (.A(_07475_),
-    .Y(_07477_),
+ sky130_fd_sc_hd__inv_2 _10775_ (.A(_06995_),
+    .Y(_06997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11506_ (.A(_07477_),
-    .X(_07478_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10776_ (.A(_06997_),
+    .X(_06998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11507_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
-    .A2(_07476_),
-    .B1(_07448_),
-    .B2(_07478_),
+ sky130_fd_sc_hd__a22o_1 _10777_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
+    .A2(_06996_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_06998_),
     .X(_01981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11508_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
-    .A2(_07476_),
-    .B1(_07453_),
-    .B2(_07478_),
+ sky130_fd_sc_hd__a22o_1 _10778_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
+    .A2(_06996_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_06998_),
     .X(_01980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11509_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
-    .A2(_07476_),
-    .B1(_07456_),
-    .B2(_07478_),
+ sky130_fd_sc_hd__a22o_1 _10779_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
+    .A2(_06996_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_06998_),
     .X(_01979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11510_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
-    .A2(_07476_),
-    .B1(_07459_),
-    .B2(_07478_),
+ sky130_fd_sc_hd__a22o_1 _10780_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
+    .A2(_06996_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_06998_),
     .X(_01978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11511_ (.A(_07475_),
-    .X(_07479_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10781_ (.A(_06995_),
+    .X(_06999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11512_ (.A(_07477_),
-    .X(_07480_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10782_ (.A(_06997_),
+    .X(_07000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11513_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
-    .A2(_07479_),
-    .B1(_07463_),
-    .B2(_07480_),
+ sky130_fd_sc_hd__a22o_1 _10783_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
+    .A2(_06999_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07000_),
     .X(_01977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11514_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
-    .A2(_07479_),
-    .B1(_07467_),
-    .B2(_07480_),
+ sky130_fd_sc_hd__a22o_1 _10784_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
+    .A2(_06999_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07000_),
     .X(_01976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11515_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
-    .A2(_07479_),
-    .B1(_07470_),
-    .B2(_07480_),
+ sky130_fd_sc_hd__a22o_1 _10785_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
+    .A2(_06999_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07000_),
     .X(_01975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11516_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
-    .A2(_07479_),
-    .B1(_07473_),
-    .B2(_07480_),
+ sky130_fd_sc_hd__a22o_1 _10786_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
+    .A2(_06999_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07000_),
     .X(_01974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11517_ (.A(_07435_),
-    .B(_06684_),
-    .C(_07327_),
-    .X(_07481_),
+ sky130_fd_sc_hd__or3_4 _10787_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .C(_06897_),
+    .X(_07001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11518_ (.A(_07345_),
-    .B(_07481_),
-    .X(_07482_),
+ sky130_fd_sc_hd__or2_1 _10788_ (.A(_06907_),
+    .B(_07001_),
+    .X(_07002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11519_ (.A(_07482_),
-    .X(_07483_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10789_ (.A(_07002_),
+    .X(_07003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11520_ (.A(_07482_),
-    .Y(_07484_),
+ sky130_fd_sc_hd__inv_2 _10790_ (.A(_07002_),
+    .Y(_07004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11521_ (.A(_07484_),
-    .X(_07485_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10791_ (.A(_07004_),
+    .X(_07005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11522_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
-    .A2(_07483_),
-    .B1(_07448_),
-    .B2(_07485_),
+ sky130_fd_sc_hd__a22o_1 _10792_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
+    .A2(_07003_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07005_),
     .X(_01973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11523_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
-    .A2(_07483_),
-    .B1(_07453_),
-    .B2(_07485_),
+ sky130_fd_sc_hd__a22o_1 _10793_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
+    .A2(_07003_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07005_),
     .X(_01972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11524_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
-    .A2(_07483_),
-    .B1(_07456_),
-    .B2(_07485_),
+ sky130_fd_sc_hd__a22o_1 _10794_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
+    .A2(_07003_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07005_),
     .X(_01971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11525_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
-    .A2(_07483_),
-    .B1(_07459_),
-    .B2(_07485_),
+ sky130_fd_sc_hd__a22o_1 _10795_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
+    .A2(_07003_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07005_),
     .X(_01970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11526_ (.A(_07482_),
-    .X(_07486_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10796_ (.A(_07002_),
+    .X(_07006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11527_ (.A(_07484_),
-    .X(_07487_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10797_ (.A(_07004_),
+    .X(_07007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11528_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
-    .A2(_07486_),
-    .B1(_07463_),
-    .B2(_07487_),
+ sky130_fd_sc_hd__a22o_1 _10798_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
+    .A2(_07006_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07007_),
     .X(_01969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11529_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
-    .A2(_07486_),
-    .B1(_07467_),
-    .B2(_07487_),
+ sky130_fd_sc_hd__a22o_1 _10799_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
+    .A2(_07006_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07007_),
     .X(_01968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11530_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
-    .A2(_07486_),
-    .B1(_07470_),
-    .B2(_07487_),
+ sky130_fd_sc_hd__a22o_1 _10800_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
+    .A2(_07006_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07007_),
     .X(_01967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11531_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
-    .A2(_07486_),
-    .B1(_07473_),
-    .B2(_07487_),
+ sky130_fd_sc_hd__a22o_1 _10801_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
+    .A2(_07006_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07007_),
     .X(_01966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _11532_ (.A(_07435_),
-    .B(_06684_),
-    .C(_06696_),
-    .X(_07488_),
+ sky130_fd_sc_hd__or3_2 _10802_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .C(_06347_),
+    .X(_07008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11533_ (.A(_07345_),
-    .B(_07488_),
-    .X(_07489_),
+ sky130_fd_sc_hd__or2_1 _10803_ (.A(_06907_),
+    .B(_07008_),
+    .X(_07009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11534_ (.A(_07489_),
-    .X(_07490_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10804_ (.A(_07009_),
+    .X(_07010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11535_ (.A(_07489_),
-    .Y(_07491_),
+ sky130_fd_sc_hd__inv_2 _10805_ (.A(_07009_),
+    .Y(_07011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11536_ (.A(_07491_),
-    .X(_07492_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10806_ (.A(_07011_),
+    .X(_07012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11537_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
-    .A2(_07490_),
-    .B1(_07448_),
-    .B2(_07492_),
+ sky130_fd_sc_hd__a22o_1 _10807_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
+    .A2(_07010_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07012_),
     .X(_01965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11538_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
-    .A2(_07490_),
-    .B1(_07453_),
-    .B2(_07492_),
+ sky130_fd_sc_hd__a22o_1 _10808_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
+    .A2(_07010_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07012_),
     .X(_01964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11539_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
-    .A2(_07490_),
-    .B1(_07456_),
-    .B2(_07492_),
+ sky130_fd_sc_hd__a22o_1 _10809_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
+    .A2(_07010_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07012_),
     .X(_01963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11540_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
-    .A2(_07490_),
-    .B1(_07459_),
-    .B2(_07492_),
+ sky130_fd_sc_hd__a22o_1 _10810_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
+    .A2(_07010_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07012_),
     .X(_01962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11541_ (.A(_07489_),
-    .X(_07493_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10811_ (.A(_07009_),
+    .X(_07013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11542_ (.A(_07491_),
-    .X(_07494_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10812_ (.A(_07011_),
+    .X(_07014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11543_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
-    .A2(_07493_),
-    .B1(_07463_),
-    .B2(_07494_),
+ sky130_fd_sc_hd__a22o_1 _10813_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
+    .A2(_07013_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07014_),
     .X(_01961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11544_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
-    .A2(_07493_),
-    .B1(_07467_),
-    .B2(_07494_),
+ sky130_fd_sc_hd__a22o_1 _10814_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
+    .A2(_07013_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07014_),
     .X(_01960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11545_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
-    .A2(_07493_),
-    .B1(_07470_),
-    .B2(_07494_),
+ sky130_fd_sc_hd__a22o_1 _10815_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
+    .A2(_07013_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07014_),
     .X(_01959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11546_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
-    .A2(_07493_),
-    .B1(_07473_),
-    .B2(_07494_),
+ sky130_fd_sc_hd__a22o_1 _10816_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
+    .A2(_07013_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07014_),
     .X(_01958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11547_ (.A(_07322_),
-    .X(_07495_),
+ sky130_fd_sc_hd__buf_4 _10817_ (.A(_06892_),
+    .X(_07015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _11548_ (.A(_06675_),
+ sky130_fd_sc_hd__or3_1 _10818_ (.A(_06333_),
     .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
-    .C(_07495_),
-    .X(_07496_),
+    .C(_07015_),
+    .X(_07016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11549_ (.A(_06620_),
-    .B(_07496_),
-    .X(_07497_),
+ sky130_fd_sc_hd__or2_1 _10819_ (.A(_06905_),
+    .B(_07016_),
+    .X(_07017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11550_ (.A(_07497_),
-    .X(_07498_),
+ sky130_fd_sc_hd__clkbuf_2 _10820_ (.A(_07017_),
+    .X(_07018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11551_ (.A(_07343_),
-    .B(_07498_),
-    .X(_07499_),
+ sky130_fd_sc_hd__or2_2 _10821_ (.A(_06330_),
+    .B(_07018_),
+    .X(_07019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11552_ (.A(_07499_),
-    .X(_07500_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10822_ (.A(_07019_),
+    .X(_07020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11553_ (.A(_07447_),
-    .X(_07501_),
+ sky130_fd_sc_hd__inv_2 _10823_ (.A(_07019_),
+    .Y(_07021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11554_ (.A(_07499_),
-    .Y(_07502_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10824_ (.A(_07021_),
+    .X(_07022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11555_ (.A(_07502_),
-    .X(_07503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11556_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
-    .A2(_07500_),
-    .B1(_07501_),
-    .B2(_07503_),
+ sky130_fd_sc_hd__a22o_1 _10825_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
+    .A2(_07020_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07022_),
     .X(_01957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11557_ (.A(_07452_),
-    .X(_07504_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11558_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
-    .A2(_07500_),
-    .B1(_07504_),
-    .B2(_07503_),
+ sky130_fd_sc_hd__a22o_1 _10826_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
+    .A2(_07020_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07022_),
     .X(_01956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11559_ (.A(_07455_),
-    .X(_07505_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11560_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
-    .A2(_07500_),
-    .B1(_07505_),
-    .B2(_07503_),
+ sky130_fd_sc_hd__a22o_1 _10827_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
+    .A2(_07020_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07022_),
     .X(_01955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11561_ (.A(_07458_),
-    .X(_07506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11562_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
-    .A2(_07500_),
-    .B1(_07506_),
-    .B2(_07503_),
+ sky130_fd_sc_hd__a22o_1 _10828_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
+    .A2(_07020_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07022_),
     .X(_01954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11563_ (.A(_07499_),
-    .X(_07507_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10829_ (.A(_07019_),
+    .X(_07023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11564_ (.A(_07462_),
-    .X(_07508_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10830_ (.A(_07021_),
+    .X(_07024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11565_ (.A(_07502_),
-    .X(_07509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11566_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
-    .A2(_07507_),
-    .B1(_07508_),
-    .B2(_07509_),
+ sky130_fd_sc_hd__a22o_1 _10831_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
+    .A2(_07023_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07024_),
     .X(_01953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11567_ (.A(_07466_),
-    .X(_07510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11568_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
-    .A2(_07507_),
-    .B1(_07510_),
-    .B2(_07509_),
+ sky130_fd_sc_hd__a22o_1 _10832_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
+    .A2(_07023_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07024_),
     .X(_01952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11569_ (.A(_07469_),
-    .X(_07511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11570_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
-    .A2(_07507_),
-    .B1(_07511_),
-    .B2(_07509_),
+ sky130_fd_sc_hd__a22o_1 _10833_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
+    .A2(_07023_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07024_),
     .X(_01951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11571_ (.A(_07472_),
-    .X(_07512_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11572_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
-    .A2(_07507_),
-    .B1(_07512_),
-    .B2(_07509_),
+ sky130_fd_sc_hd__a22o_1 _10834_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
+    .A2(_07023_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07024_),
     .X(_01950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11573_ (.A(_07496_),
-    .X(_07513_),
+ sky130_fd_sc_hd__buf_2 _10835_ (.A(_07016_),
+    .X(_07025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11574_ (.A(_07513_),
-    .X(_07514_),
+ sky130_fd_sc_hd__clkbuf_1 _10836_ (.A(_07025_),
+    .X(_07026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11575_ (.A(_07353_),
-    .B(_07514_),
-    .X(_07515_),
+ sky130_fd_sc_hd__or2_2 _10837_ (.A(_06915_),
+    .B(_07026_),
+    .X(_07027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11576_ (.A(_07515_),
-    .X(_07516_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10838_ (.A(_07027_),
+    .X(_07028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11577_ (.A(_07515_),
-    .Y(_07517_),
+ sky130_fd_sc_hd__inv_2 _10839_ (.A(_07027_),
+    .Y(_07029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11578_ (.A(_07517_),
-    .X(_07518_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10840_ (.A(_07029_),
+    .X(_07030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11579_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
-    .A2(_07516_),
-    .B1(_07501_),
-    .B2(_07518_),
+ sky130_fd_sc_hd__a22o_1 _10841_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
+    .A2(_07028_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07030_),
     .X(_01949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11580_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
-    .A2(_07516_),
-    .B1(_07504_),
-    .B2(_07518_),
+ sky130_fd_sc_hd__a22o_1 _10842_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
+    .A2(_07028_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07030_),
     .X(_01948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11581_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
-    .A2(_07516_),
-    .B1(_07505_),
-    .B2(_07518_),
+ sky130_fd_sc_hd__a22o_1 _10843_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
+    .A2(_07028_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07030_),
     .X(_01947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11582_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
-    .A2(_07516_),
-    .B1(_07506_),
-    .B2(_07518_),
+ sky130_fd_sc_hd__a22o_1 _10844_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
+    .A2(_07028_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07030_),
     .X(_01946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11583_ (.A(_07515_),
-    .X(_07519_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10845_ (.A(_07027_),
+    .X(_07031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11584_ (.A(_07517_),
-    .X(_07520_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10846_ (.A(_07029_),
+    .X(_07032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11585_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
-    .A2(_07519_),
-    .B1(_07508_),
-    .B2(_07520_),
+ sky130_fd_sc_hd__a22o_1 _10847_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
+    .A2(_07031_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07032_),
     .X(_01945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11586_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
-    .A2(_07519_),
-    .B1(_07510_),
-    .B2(_07520_),
+ sky130_fd_sc_hd__a22o_1 _10848_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
+    .A2(_07031_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07032_),
     .X(_01944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11587_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
-    .A2(_07519_),
-    .B1(_07511_),
-    .B2(_07520_),
+ sky130_fd_sc_hd__a22o_1 _10849_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
+    .A2(_07031_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07032_),
     .X(_01943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11588_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
-    .A2(_07519_),
-    .B1(_07512_),
-    .B2(_07520_),
+ sky130_fd_sc_hd__a22o_1 _10850_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
+    .A2(_07031_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07032_),
     .X(_01942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11589_ (.A(_07328_),
-    .B(_07514_),
-    .X(_07521_),
+ sky130_fd_sc_hd__or2_1 _10851_ (.A(_06898_),
+    .B(_07026_),
+    .X(_07033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11590_ (.A(_07521_),
-    .X(_07522_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10852_ (.A(_07033_),
+    .X(_07034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11591_ (.A(_07521_),
-    .Y(_07523_),
+ sky130_fd_sc_hd__inv_2 _10853_ (.A(_07033_),
+    .Y(_07035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11592_ (.A(_07523_),
-    .X(_07524_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10854_ (.A(_07035_),
+    .X(_07036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11593_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
-    .A2(_07522_),
-    .B1(_07501_),
-    .B2(_07524_),
+ sky130_fd_sc_hd__a22o_1 _10855_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
+    .A2(_07034_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07036_),
     .X(_01941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11594_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
-    .A2(_07522_),
-    .B1(_07504_),
-    .B2(_07524_),
+ sky130_fd_sc_hd__a22o_1 _10856_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
+    .A2(_07034_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07036_),
     .X(_01940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11595_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
-    .A2(_07522_),
-    .B1(_07505_),
-    .B2(_07524_),
+ sky130_fd_sc_hd__a22o_1 _10857_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
+    .A2(_07034_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07036_),
     .X(_01939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11596_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
-    .A2(_07522_),
-    .B1(_07506_),
-    .B2(_07524_),
+ sky130_fd_sc_hd__a22o_1 _10858_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
+    .A2(_07034_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07036_),
     .X(_01938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11597_ (.A(_07521_),
-    .X(_07525_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10859_ (.A(_07033_),
+    .X(_07037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11598_ (.A(_07523_),
-    .X(_07526_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10860_ (.A(_07035_),
+    .X(_07038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11599_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
-    .A2(_07525_),
-    .B1(_07508_),
-    .B2(_07526_),
+ sky130_fd_sc_hd__a22o_1 _10861_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
+    .A2(_07037_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07038_),
     .X(_01937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11600_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
-    .A2(_07525_),
-    .B1(_07510_),
-    .B2(_07526_),
+ sky130_fd_sc_hd__a22o_1 _10862_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
+    .A2(_07037_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07038_),
     .X(_01936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11601_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
-    .A2(_07525_),
-    .B1(_07511_),
-    .B2(_07526_),
+ sky130_fd_sc_hd__a22o_1 _10863_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
+    .A2(_07037_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07038_),
     .X(_01935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11602_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
-    .A2(_07525_),
-    .B1(_07512_),
-    .B2(_07526_),
+ sky130_fd_sc_hd__a22o_1 _10864_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
+    .A2(_07037_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07038_),
     .X(_01934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11603_ (.A(_07360_),
-    .B(_07514_),
-    .X(_07527_),
+ sky130_fd_sc_hd__or2_2 _10865_ (.A(_06922_),
+    .B(_07026_),
+    .X(_07039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11604_ (.A(_07527_),
-    .X(_07528_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10866_ (.A(_07039_),
+    .X(_07040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11605_ (.A(_07527_),
-    .Y(_07529_),
+ sky130_fd_sc_hd__inv_2 _10867_ (.A(_07039_),
+    .Y(_07041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11606_ (.A(_07529_),
-    .X(_07530_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10868_ (.A(_07041_),
+    .X(_07042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11607_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
-    .A2(_07528_),
-    .B1(_07501_),
-    .B2(_07530_),
+ sky130_fd_sc_hd__a22o_1 _10869_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
+    .A2(_07040_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07042_),
     .X(_01933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11608_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
-    .A2(_07528_),
-    .B1(_07504_),
-    .B2(_07530_),
+ sky130_fd_sc_hd__a22o_1 _10870_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
+    .A2(_07040_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07042_),
     .X(_01932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11609_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
-    .A2(_07528_),
-    .B1(_07505_),
-    .B2(_07530_),
+ sky130_fd_sc_hd__a22o_1 _10871_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
+    .A2(_07040_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07042_),
     .X(_01931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11610_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
-    .A2(_07528_),
-    .B1(_07506_),
-    .B2(_07530_),
+ sky130_fd_sc_hd__a22o_1 _10872_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
+    .A2(_07040_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07042_),
     .X(_01930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11611_ (.A(_07527_),
-    .X(_07531_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10873_ (.A(_07039_),
+    .X(_07043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11612_ (.A(_07529_),
-    .X(_07532_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10874_ (.A(_07041_),
+    .X(_07044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11613_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
-    .A2(_07531_),
-    .B1(_07508_),
-    .B2(_07532_),
+ sky130_fd_sc_hd__a22o_1 _10875_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
+    .A2(_07043_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07044_),
     .X(_01929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11614_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
-    .A2(_07531_),
-    .B1(_07510_),
-    .B2(_07532_),
+ sky130_fd_sc_hd__a22o_1 _10876_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
+    .A2(_07043_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07044_),
     .X(_01928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11615_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
-    .A2(_07531_),
-    .B1(_07511_),
-    .B2(_07532_),
+ sky130_fd_sc_hd__a22o_1 _10877_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
+    .A2(_07043_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07044_),
     .X(_01927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11616_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
-    .A2(_07531_),
-    .B1(_07512_),
-    .B2(_07532_),
+ sky130_fd_sc_hd__a22o_1 _10878_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
+    .A2(_07043_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07044_),
     .X(_01926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11617_ (.A(_07369_),
-    .B(_07514_),
-    .X(_07533_),
+ sky130_fd_sc_hd__or2_2 _10879_ (.A(_06930_),
+    .B(_07026_),
+    .X(_07045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11618_ (.A(_07533_),
-    .X(_07534_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10880_ (.A(_07045_),
+    .X(_07046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11619_ (.A(_07447_),
-    .X(_07535_),
+ sky130_fd_sc_hd__inv_2 _10881_ (.A(_07045_),
+    .Y(_07047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11620_ (.A(_07533_),
-    .Y(_07536_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10882_ (.A(_07047_),
+    .X(_07048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11621_ (.A(_07536_),
-    .X(_07537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11622_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
-    .A2(_07534_),
-    .B1(_07535_),
-    .B2(_07537_),
+ sky130_fd_sc_hd__a22o_1 _10883_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
+    .A2(_07046_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07048_),
     .X(_01925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11623_ (.A(_07452_),
-    .X(_07538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11624_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
-    .A2(_07534_),
-    .B1(_07538_),
-    .B2(_07537_),
+ sky130_fd_sc_hd__a22o_1 _10884_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
+    .A2(_07046_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07048_),
     .X(_01924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11625_ (.A(_07455_),
-    .X(_07539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11626_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
-    .A2(_07534_),
-    .B1(_07539_),
-    .B2(_07537_),
+ sky130_fd_sc_hd__a22o_1 _10885_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
+    .A2(_07046_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07048_),
     .X(_01923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11627_ (.A(_07458_),
-    .X(_07540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11628_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
-    .A2(_07534_),
-    .B1(_07540_),
-    .B2(_07537_),
+ sky130_fd_sc_hd__a22o_1 _10886_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
+    .A2(_07046_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07048_),
     .X(_01922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11629_ (.A(_07533_),
-    .X(_07541_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10887_ (.A(_07045_),
+    .X(_07049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11630_ (.A(_07462_),
-    .X(_07542_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10888_ (.A(_07047_),
+    .X(_07050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11631_ (.A(_07536_),
-    .X(_07543_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11632_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
-    .A2(_07541_),
-    .B1(_07542_),
-    .B2(_07543_),
+ sky130_fd_sc_hd__a22o_1 _10889_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
+    .A2(_07049_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07050_),
     .X(_01921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11633_ (.A(_07466_),
-    .X(_07544_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11634_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
-    .A2(_07541_),
-    .B1(_07544_),
-    .B2(_07543_),
+ sky130_fd_sc_hd__a22o_1 _10890_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
+    .A2(_07049_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07050_),
     .X(_01920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11635_ (.A(_07469_),
-    .X(_07545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11636_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
-    .A2(_07541_),
-    .B1(_07545_),
-    .B2(_07543_),
+ sky130_fd_sc_hd__a22o_1 _10891_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
+    .A2(_07049_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07050_),
     .X(_01919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11637_ (.A(_07472_),
-    .X(_07546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11638_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
-    .A2(_07541_),
-    .B1(_07546_),
-    .B2(_07543_),
+ sky130_fd_sc_hd__a22o_1 _10892_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
+    .A2(_07049_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07050_),
     .X(_01918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11639_ (.A(_07384_),
-    .B(_07513_),
-    .X(_07547_),
+ sky130_fd_sc_hd__or2_2 _10893_ (.A(_06937_),
+    .B(_07025_),
+    .X(_07051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11640_ (.A(_07547_),
-    .X(_07548_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10894_ (.A(_07051_),
+    .X(_07052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11641_ (.A(_07547_),
-    .Y(_07549_),
+ sky130_fd_sc_hd__inv_2 _10895_ (.A(_07051_),
+    .Y(_07053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11642_ (.A(_07549_),
-    .X(_07550_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10896_ (.A(_07053_),
+    .X(_07054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11643_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
-    .A2(_07548_),
-    .B1(_07535_),
-    .B2(_07550_),
+ sky130_fd_sc_hd__a22o_1 _10897_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
+    .A2(_07052_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07054_),
     .X(_01917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11644_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
-    .A2(_07548_),
-    .B1(_07538_),
-    .B2(_07550_),
+ sky130_fd_sc_hd__a22o_1 _10898_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
+    .A2(_07052_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07054_),
     .X(_01916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11645_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
-    .A2(_07548_),
-    .B1(_07539_),
-    .B2(_07550_),
+ sky130_fd_sc_hd__a22o_1 _10899_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
+    .A2(_07052_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07054_),
     .X(_01915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11646_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
-    .A2(_07548_),
-    .B1(_07540_),
-    .B2(_07550_),
+ sky130_fd_sc_hd__a22o_1 _10900_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
+    .A2(_07052_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07054_),
     .X(_01914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11647_ (.A(_07547_),
-    .X(_07551_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10901_ (.A(_07051_),
+    .X(_07055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11648_ (.A(_07549_),
-    .X(_07552_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10902_ (.A(_07053_),
+    .X(_07056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11649_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
-    .A2(_07551_),
-    .B1(_07542_),
-    .B2(_07552_),
+ sky130_fd_sc_hd__a22o_1 _10903_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
+    .A2(_07055_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07056_),
     .X(_01913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11650_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
-    .A2(_07551_),
-    .B1(_07544_),
-    .B2(_07552_),
+ sky130_fd_sc_hd__a22o_1 _10904_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
+    .A2(_07055_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07056_),
     .X(_01912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11651_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
-    .A2(_07551_),
-    .B1(_07545_),
-    .B2(_07552_),
+ sky130_fd_sc_hd__a22o_1 _10905_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
+    .A2(_07055_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07056_),
     .X(_01911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11652_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
-    .A2(_07551_),
-    .B1(_07546_),
-    .B2(_07552_),
+ sky130_fd_sc_hd__a22o_1 _10906_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
+    .A2(_07055_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07056_),
     .X(_01910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11653_ (.A(_07391_),
-    .B(_07513_),
-    .X(_07553_),
+ sky130_fd_sc_hd__or2_1 _10907_ (.A(_06944_),
+    .B(_07025_),
+    .X(_07057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11654_ (.A(_07553_),
-    .X(_07554_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10908_ (.A(_07057_),
+    .X(_07058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11655_ (.A(_07553_),
-    .Y(_07555_),
+ sky130_fd_sc_hd__inv_2 _10909_ (.A(_07057_),
+    .Y(_07059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11656_ (.A(_07555_),
-    .X(_07556_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10910_ (.A(_07059_),
+    .X(_07060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11657_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
-    .A2(_07554_),
-    .B1(_07535_),
-    .B2(_07556_),
+ sky130_fd_sc_hd__a22o_1 _10911_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
+    .A2(_07058_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07060_),
     .X(_01909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11658_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
-    .A2(_07554_),
-    .B1(_07538_),
-    .B2(_07556_),
+ sky130_fd_sc_hd__a22o_1 _10912_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
+    .A2(_07058_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07060_),
     .X(_01908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11659_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
-    .A2(_07554_),
-    .B1(_07539_),
-    .B2(_07556_),
+ sky130_fd_sc_hd__a22o_1 _10913_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
+    .A2(_07058_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07060_),
     .X(_01907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11660_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
-    .A2(_07554_),
-    .B1(_07540_),
-    .B2(_07556_),
+ sky130_fd_sc_hd__a22o_1 _10914_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
+    .A2(_07058_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07060_),
     .X(_01906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11661_ (.A(_07553_),
-    .X(_07557_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10915_ (.A(_07057_),
+    .X(_07061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11662_ (.A(_07555_),
-    .X(_07558_),
+ sky130_fd_sc_hd__clkbuf_1 _10916_ (.A(_07059_),
+    .X(_07062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11663_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
-    .A2(_07557_),
-    .B1(_07542_),
-    .B2(_07558_),
+ sky130_fd_sc_hd__a22o_1 _10917_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
+    .A2(_07061_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07062_),
     .X(_01905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11664_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
-    .A2(_07557_),
-    .B1(_07544_),
-    .B2(_07558_),
+ sky130_fd_sc_hd__a22o_1 _10918_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
+    .A2(_07061_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07062_),
     .X(_01904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11665_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
-    .A2(_07557_),
-    .B1(_07545_),
-    .B2(_07558_),
+ sky130_fd_sc_hd__a22o_1 _10919_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
+    .A2(_07061_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07062_),
     .X(_01903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11666_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
-    .A2(_07557_),
-    .B1(_07546_),
-    .B2(_07558_),
+ sky130_fd_sc_hd__a22o_1 _10920_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
+    .A2(_07061_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07062_),
     .X(_01902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11667_ (.A(_07398_),
-    .B(_07513_),
-    .X(_07559_),
+ sky130_fd_sc_hd__or2_2 _10921_ (.A(_06951_),
+    .B(_07025_),
+    .X(_07063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11668_ (.A(_07559_),
-    .X(_07560_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10922_ (.A(_07063_),
+    .X(_07064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11669_ (.A(_07559_),
-    .Y(_07561_),
+ sky130_fd_sc_hd__inv_2 _10923_ (.A(_07063_),
+    .Y(_07065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11670_ (.A(_07561_),
-    .X(_07562_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10924_ (.A(_07065_),
+    .X(_07066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11671_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
-    .A2(_07560_),
-    .B1(_07535_),
-    .B2(_07562_),
+ sky130_fd_sc_hd__a22o_1 _10925_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
+    .A2(_07064_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07066_),
     .X(_01901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11672_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
-    .A2(_07560_),
-    .B1(_07538_),
-    .B2(_07562_),
+ sky130_fd_sc_hd__a22o_1 _10926_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
+    .A2(_07064_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07066_),
     .X(_01900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11673_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
-    .A2(_07560_),
-    .B1(_07539_),
-    .B2(_07562_),
+ sky130_fd_sc_hd__a22o_1 _10927_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
+    .A2(_07064_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07066_),
     .X(_01899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11674_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
-    .A2(_07560_),
-    .B1(_07540_),
-    .B2(_07562_),
+ sky130_fd_sc_hd__a22o_1 _10928_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
+    .A2(_07064_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07066_),
     .X(_01898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11675_ (.A(_07559_),
-    .X(_07563_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10929_ (.A(_07063_),
+    .X(_07067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11676_ (.A(_07561_),
-    .X(_07564_),
+ sky130_fd_sc_hd__clkbuf_1 _10930_ (.A(_07065_),
+    .X(_07068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11677_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
-    .A2(_07563_),
-    .B1(_07542_),
-    .B2(_07564_),
+ sky130_fd_sc_hd__a22o_1 _10931_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
+    .A2(_07067_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07068_),
     .X(_01897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11678_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
-    .A2(_07563_),
-    .B1(_07544_),
-    .B2(_07564_),
+ sky130_fd_sc_hd__a22o_1 _10932_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
+    .A2(_07067_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07068_),
     .X(_01896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11679_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
-    .A2(_07563_),
-    .B1(_07545_),
-    .B2(_07564_),
+ sky130_fd_sc_hd__a22o_1 _10933_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
+    .A2(_07067_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07068_),
     .X(_01895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11680_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
-    .A2(_07563_),
-    .B1(_07546_),
-    .B2(_07564_),
+ sky130_fd_sc_hd__a22o_1 _10934_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
+    .A2(_07067_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07068_),
     .X(_01894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11681_ (.A(_07405_),
-    .B(_07498_),
-    .X(_07565_),
+ sky130_fd_sc_hd__or2_2 _10935_ (.A(_06958_),
+    .B(_07018_),
+    .X(_07069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11682_ (.A(_07565_),
-    .X(_07566_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10936_ (.A(_07069_),
+    .X(_07070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11683_ (.A(_07447_),
-    .X(_07567_),
+ sky130_fd_sc_hd__inv_2 _10937_ (.A(_07069_),
+    .Y(_07071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11684_ (.A(_07565_),
-    .Y(_07568_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10938_ (.A(_07071_),
+    .X(_07072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11685_ (.A(_07568_),
-    .X(_07569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11686_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
-    .A2(_07566_),
-    .B1(_07567_),
-    .B2(_07569_),
+ sky130_fd_sc_hd__a22o_1 _10939_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
+    .A2(_07070_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07072_),
     .X(_01893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11687_ (.A(_07452_),
-    .X(_07570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11688_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
-    .A2(_07566_),
-    .B1(_07570_),
-    .B2(_07569_),
+ sky130_fd_sc_hd__a22o_1 _10940_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
+    .A2(_07070_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07072_),
     .X(_01892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11689_ (.A(_07455_),
-    .X(_07571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11690_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
-    .A2(_07566_),
-    .B1(_07571_),
-    .B2(_07569_),
+ sky130_fd_sc_hd__a22o_1 _10941_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
+    .A2(_07070_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07072_),
     .X(_01891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11691_ (.A(_07458_),
-    .X(_07572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11692_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
-    .A2(_07566_),
-    .B1(_07572_),
-    .B2(_07569_),
+ sky130_fd_sc_hd__a22o_1 _10942_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
+    .A2(_07070_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07072_),
     .X(_01890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11693_ (.A(_07565_),
-    .X(_07573_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10943_ (.A(_07069_),
+    .X(_07073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11694_ (.A(_07462_),
-    .X(_07574_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10944_ (.A(_07071_),
+    .X(_07074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11695_ (.A(_07568_),
-    .X(_07575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11696_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
-    .A2(_07573_),
-    .B1(_07574_),
-    .B2(_07575_),
+ sky130_fd_sc_hd__a22o_1 _10945_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
+    .A2(_07073_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07074_),
     .X(_01889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11697_ (.A(_07466_),
-    .X(_07576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11698_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
-    .A2(_07573_),
-    .B1(_07576_),
-    .B2(_07575_),
+ sky130_fd_sc_hd__a22o_1 _10946_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
+    .A2(_07073_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07074_),
     .X(_01888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11699_ (.A(_07469_),
-    .X(_07577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11700_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
-    .A2(_07573_),
-    .B1(_07577_),
-    .B2(_07575_),
+ sky130_fd_sc_hd__a22o_1 _10947_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
+    .A2(_07073_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07074_),
     .X(_01887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11701_ (.A(_07472_),
-    .X(_07578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11702_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
-    .A2(_07573_),
-    .B1(_07578_),
-    .B2(_07575_),
+ sky130_fd_sc_hd__a22o_1 _10948_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
+    .A2(_07073_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07074_),
     .X(_01886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11703_ (.A(_07421_),
-    .B(_07498_),
-    .X(_07579_),
+ sky130_fd_sc_hd__or2_1 _10949_ (.A(_06966_),
+    .B(_07018_),
+    .X(_07075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11704_ (.A(_07579_),
-    .X(_07580_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10950_ (.A(_07075_),
+    .X(_07076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11705_ (.A(_07579_),
-    .Y(_07581_),
+ sky130_fd_sc_hd__inv_2 _10951_ (.A(_07075_),
+    .Y(_07077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11706_ (.A(_07581_),
-    .X(_07582_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10952_ (.A(_07077_),
+    .X(_07078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11707_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
-    .A2(_07580_),
-    .B1(_07567_),
-    .B2(_07582_),
+ sky130_fd_sc_hd__a22o_1 _10953_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
+    .A2(_07076_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07078_),
     .X(_01885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11708_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
-    .A2(_07580_),
-    .B1(_07570_),
-    .B2(_07582_),
+ sky130_fd_sc_hd__a22o_1 _10954_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
+    .A2(_07076_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07078_),
     .X(_01884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11709_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
-    .A2(_07580_),
-    .B1(_07571_),
-    .B2(_07582_),
+ sky130_fd_sc_hd__a22o_1 _10955_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
+    .A2(_07076_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07078_),
     .X(_01883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11710_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
-    .A2(_07580_),
-    .B1(_07572_),
-    .B2(_07582_),
+ sky130_fd_sc_hd__a22o_1 _10956_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
+    .A2(_07076_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07078_),
     .X(_01882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11711_ (.A(_07579_),
-    .X(_07583_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10957_ (.A(_07075_),
+    .X(_07079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11712_ (.A(_07581_),
-    .X(_07584_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10958_ (.A(_07077_),
+    .X(_07080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11713_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
-    .A2(_07583_),
-    .B1(_07574_),
-    .B2(_07584_),
+ sky130_fd_sc_hd__a22o_1 _10959_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
+    .A2(_07079_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07080_),
     .X(_01881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11714_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
-    .A2(_07583_),
-    .B1(_07576_),
-    .B2(_07584_),
+ sky130_fd_sc_hd__a22o_1 _10960_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
+    .A2(_07079_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07080_),
     .X(_01880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11715_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
-    .A2(_07583_),
-    .B1(_07577_),
-    .B2(_07584_),
+ sky130_fd_sc_hd__a22o_1 _10961_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
+    .A2(_07079_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07080_),
     .X(_01879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11716_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
-    .A2(_07583_),
-    .B1(_07578_),
-    .B2(_07584_),
+ sky130_fd_sc_hd__a22o_1 _10962_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
+    .A2(_07079_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07080_),
     .X(_01878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11717_ (.A(_07428_),
-    .B(_07498_),
-    .X(_07585_),
+ sky130_fd_sc_hd__or2_2 _10963_ (.A(_06973_),
+    .B(_07018_),
+    .X(_07081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11718_ (.A(_07585_),
-    .X(_07586_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10964_ (.A(_07081_),
+    .X(_07082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11719_ (.A(_07585_),
-    .Y(_07587_),
+ sky130_fd_sc_hd__inv_2 _10965_ (.A(_07081_),
+    .Y(_07083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11720_ (.A(_07587_),
-    .X(_07588_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10966_ (.A(_07083_),
+    .X(_07084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11721_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
-    .A2(_07586_),
-    .B1(_07567_),
-    .B2(_07588_),
+ sky130_fd_sc_hd__a22o_1 _10967_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
+    .A2(_07082_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07084_),
     .X(_01877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11722_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
-    .A2(_07586_),
-    .B1(_07570_),
-    .B2(_07588_),
+ sky130_fd_sc_hd__a22o_1 _10968_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
+    .A2(_07082_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07084_),
     .X(_01876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11723_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
-    .A2(_07586_),
-    .B1(_07571_),
-    .B2(_07588_),
+ sky130_fd_sc_hd__a22o_1 _10969_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
+    .A2(_07082_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07084_),
     .X(_01875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11724_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
-    .A2(_07586_),
-    .B1(_07572_),
-    .B2(_07588_),
+ sky130_fd_sc_hd__a22o_1 _10970_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
+    .A2(_07082_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07084_),
     .X(_01874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11725_ (.A(_07585_),
-    .X(_07589_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10971_ (.A(_07081_),
+    .X(_07085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11726_ (.A(_07587_),
-    .X(_07590_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10972_ (.A(_07083_),
+    .X(_07086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11727_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
-    .A2(_07589_),
-    .B1(_07574_),
-    .B2(_07590_),
+ sky130_fd_sc_hd__a22o_1 _10973_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
+    .A2(_07085_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07086_),
     .X(_01873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11728_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
-    .A2(_07589_),
-    .B1(_07576_),
-    .B2(_07590_),
+ sky130_fd_sc_hd__a22o_1 _10974_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
+    .A2(_07085_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07086_),
     .X(_01872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11729_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
-    .A2(_07589_),
-    .B1(_07577_),
-    .B2(_07590_),
+ sky130_fd_sc_hd__a22o_1 _10975_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
+    .A2(_07085_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07086_),
     .X(_01871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11730_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
-    .A2(_07589_),
-    .B1(_07578_),
-    .B2(_07590_),
+ sky130_fd_sc_hd__a22o_1 _10976_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
+    .A2(_07085_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07086_),
     .X(_01870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11731_ (.A(_07497_),
-    .X(_07591_),
+ sky130_fd_sc_hd__clkbuf_2 _10977_ (.A(_07017_),
+    .X(_07087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11732_ (.A(_07436_),
-    .B(_07591_),
-    .X(_07592_),
+ sky130_fd_sc_hd__or2_1 _10978_ (.A(_06980_),
+    .B(_07087_),
+    .X(_07088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11733_ (.A(_07592_),
-    .X(_07593_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10979_ (.A(_07088_),
+    .X(_07089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11734_ (.A(_07592_),
-    .Y(_07594_),
+ sky130_fd_sc_hd__inv_2 _10980_ (.A(_07088_),
+    .Y(_07090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11735_ (.A(_07594_),
-    .X(_07595_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10981_ (.A(_07090_),
+    .X(_07091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11736_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
-    .A2(_07593_),
-    .B1(_07567_),
-    .B2(_07595_),
+ sky130_fd_sc_hd__a22o_1 _10982_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
+    .A2(_07089_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07091_),
     .X(_01869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11737_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
-    .A2(_07593_),
-    .B1(_07570_),
-    .B2(_07595_),
+ sky130_fd_sc_hd__a22o_1 _10983_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
+    .A2(_07089_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07091_),
     .X(_01868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11738_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
-    .A2(_07593_),
-    .B1(_07571_),
-    .B2(_07595_),
+ sky130_fd_sc_hd__a22o_1 _10984_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
+    .A2(_07089_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07091_),
     .X(_01867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11739_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
-    .A2(_07593_),
-    .B1(_07572_),
-    .B2(_07595_),
+ sky130_fd_sc_hd__a22o_1 _10985_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
+    .A2(_07089_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07091_),
     .X(_01866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11740_ (.A(_07592_),
-    .X(_07596_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10986_ (.A(_07088_),
+    .X(_07092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11741_ (.A(_07594_),
-    .X(_07597_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10987_ (.A(_07090_),
+    .X(_07093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11742_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
-    .A2(_07596_),
-    .B1(_07574_),
-    .B2(_07597_),
+ sky130_fd_sc_hd__a22o_1 _10988_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
+    .A2(_07092_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07093_),
     .X(_01865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11743_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
-    .A2(_07596_),
-    .B1(_07576_),
-    .B2(_07597_),
+ sky130_fd_sc_hd__a22o_1 _10989_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+    .A2(_07092_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07093_),
     .X(_01864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11744_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
-    .A2(_07596_),
-    .B1(_07577_),
-    .B2(_07597_),
+ sky130_fd_sc_hd__a22o_1 _10990_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+    .A2(_07092_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07093_),
     .X(_01863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11745_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
-    .A2(_07596_),
-    .B1(_07578_),
-    .B2(_07597_),
+ sky130_fd_sc_hd__a22o_1 _10991_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+    .A2(_07092_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07093_),
     .X(_01862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11746_ (.A(_07443_),
-    .B(_07591_),
-    .X(_07598_),
+ sky130_fd_sc_hd__or2_2 _10992_ (.A(_06987_),
+    .B(_07087_),
+    .X(_07094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11747_ (.A(_07598_),
-    .X(_07599_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10993_ (.A(_07094_),
+    .X(_07095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11748_ (.A(_07446_),
-    .X(_07600_),
+ sky130_fd_sc_hd__inv_2 _10994_ (.A(_07094_),
+    .Y(_07096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11749_ (.A(_07600_),
-    .X(_07601_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10995_ (.A(_07096_),
+    .X(_07097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11750_ (.A(_07598_),
-    .Y(_07602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11751_ (.A(_07602_),
-    .X(_07603_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11752_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
-    .A2(_07599_),
-    .B1(_07601_),
-    .B2(_07603_),
+ sky130_fd_sc_hd__a22o_1 _10996_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
+    .A2(_07095_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07097_),
     .X(_01861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11753_ (.A(_07451_),
-    .X(_07604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11754_ (.A(_07604_),
-    .X(_07605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11755_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
-    .A2(_07599_),
-    .B1(_07605_),
-    .B2(_07603_),
+ sky130_fd_sc_hd__a22o_1 _10997_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
+    .A2(_07095_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07097_),
     .X(_01860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11756_ (.A(_07454_),
-    .X(_07606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11757_ (.A(_07606_),
-    .X(_07607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11758_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
-    .A2(_07599_),
-    .B1(_07607_),
-    .B2(_07603_),
+ sky130_fd_sc_hd__a22o_1 _10998_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
+    .A2(_07095_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07097_),
     .X(_01859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _11759_ (.A(_07457_),
-    .X(_07608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11760_ (.A(_07608_),
-    .X(_07609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11761_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
-    .A2(_07599_),
-    .B1(_07609_),
-    .B2(_07603_),
+ sky130_fd_sc_hd__a22o_1 _10999_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
+    .A2(_07095_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07097_),
     .X(_01858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11762_ (.A(_07598_),
-    .X(_07610_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11000_ (.A(_07094_),
+    .X(_07098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11763_ (.A(_07461_),
-    .X(_07611_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11001_ (.A(_07096_),
+    .X(_07099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11764_ (.A(_07611_),
-    .X(_07612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11765_ (.A(_07602_),
-    .X(_07613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11766_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
-    .A2(_07610_),
-    .B1(_07612_),
-    .B2(_07613_),
+ sky130_fd_sc_hd__a22o_1 _11002_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
+    .A2(_07098_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07099_),
     .X(_01857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11767_ (.A(_07465_),
-    .X(_07614_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11768_ (.A(_07614_),
-    .X(_07615_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11769_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
-    .A2(_07610_),
-    .B1(_07615_),
-    .B2(_07613_),
+ sky130_fd_sc_hd__a22o_1 _11003_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
+    .A2(_07098_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07099_),
     .X(_01856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11770_ (.A(_07468_),
-    .X(_07616_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11771_ (.A(_07616_),
-    .X(_07617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11772_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
-    .A2(_07610_),
-    .B1(_07617_),
-    .B2(_07613_),
+ sky130_fd_sc_hd__a22o_1 _11004_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
+    .A2(_07098_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07099_),
     .X(_01855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _11773_ (.A(_07471_),
-    .X(_07618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11774_ (.A(_07618_),
-    .X(_07619_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11775_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
-    .A2(_07610_),
-    .B1(_07619_),
-    .B2(_07613_),
+ sky130_fd_sc_hd__a22o_1 _11005_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
+    .A2(_07098_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07099_),
     .X(_01854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11776_ (.A(_07474_),
-    .B(_07591_),
-    .X(_07620_),
+ sky130_fd_sc_hd__or2_2 _11006_ (.A(_06994_),
+    .B(_07087_),
+    .X(_07100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11777_ (.A(_07620_),
-    .X(_07621_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11007_ (.A(_07100_),
+    .X(_07101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11778_ (.A(_07620_),
-    .Y(_07622_),
+ sky130_fd_sc_hd__inv_2 _11008_ (.A(_07100_),
+    .Y(_07102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11779_ (.A(_07622_),
-    .X(_07623_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11009_ (.A(_07102_),
+    .X(_07103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11780_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
-    .A2(_07621_),
-    .B1(_07601_),
-    .B2(_07623_),
+ sky130_fd_sc_hd__a22o_1 _11010_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
+    .A2(_07101_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07103_),
     .X(_01853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11781_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
-    .A2(_07621_),
-    .B1(_07605_),
-    .B2(_07623_),
+ sky130_fd_sc_hd__a22o_1 _11011_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
+    .A2(_07101_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07103_),
     .X(_01852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11782_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
-    .A2(_07621_),
-    .B1(_07607_),
-    .B2(_07623_),
+ sky130_fd_sc_hd__a22o_1 _11012_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
+    .A2(_07101_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07103_),
     .X(_01851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11783_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
-    .A2(_07621_),
-    .B1(_07609_),
-    .B2(_07623_),
+ sky130_fd_sc_hd__a22o_1 _11013_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
+    .A2(_07101_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07103_),
     .X(_01850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11784_ (.A(_07620_),
-    .X(_07624_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11014_ (.A(_07100_),
+    .X(_07104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11785_ (.A(_07622_),
-    .X(_07625_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11015_ (.A(_07102_),
+    .X(_07105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11786_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
-    .A2(_07624_),
-    .B1(_07612_),
-    .B2(_07625_),
+ sky130_fd_sc_hd__a22o_1 _11016_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
+    .A2(_07104_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07105_),
     .X(_01849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11787_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
-    .A2(_07624_),
-    .B1(_07615_),
-    .B2(_07625_),
+ sky130_fd_sc_hd__a22o_1 _11017_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
+    .A2(_07104_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07105_),
     .X(_01848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11788_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
-    .A2(_07624_),
-    .B1(_07617_),
-    .B2(_07625_),
+ sky130_fd_sc_hd__a22o_1 _11018_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
+    .A2(_07104_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07105_),
     .X(_01847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11789_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
-    .A2(_07624_),
-    .B1(_07619_),
-    .B2(_07625_),
+ sky130_fd_sc_hd__a22o_1 _11019_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
+    .A2(_07104_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07105_),
     .X(_01846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11790_ (.A(_07481_),
-    .B(_07591_),
-    .X(_07626_),
+ sky130_fd_sc_hd__or2_2 _11020_ (.A(_07001_),
+    .B(_07087_),
+    .X(_07106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11791_ (.A(_07626_),
-    .X(_07627_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11021_ (.A(_07106_),
+    .X(_07107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11792_ (.A(_07626_),
-    .Y(_07628_),
+ sky130_fd_sc_hd__inv_2 _11022_ (.A(_07106_),
+    .Y(_07108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11793_ (.A(_07628_),
-    .X(_07629_),
+ sky130_fd_sc_hd__clkbuf_1 _11023_ (.A(_07108_),
+    .X(_07109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11794_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
-    .A2(_07627_),
-    .B1(_07601_),
-    .B2(_07629_),
+ sky130_fd_sc_hd__a22o_1 _11024_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
+    .A2(_07107_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07109_),
     .X(_01845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11795_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
-    .A2(_07627_),
-    .B1(_07605_),
-    .B2(_07629_),
+ sky130_fd_sc_hd__a22o_1 _11025_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
+    .A2(_07107_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07109_),
     .X(_01844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11796_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
-    .A2(_07627_),
-    .B1(_07607_),
-    .B2(_07629_),
+ sky130_fd_sc_hd__a22o_1 _11026_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
+    .A2(_07107_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07109_),
     .X(_01843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11797_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
-    .A2(_07627_),
-    .B1(_07609_),
-    .B2(_07629_),
+ sky130_fd_sc_hd__a22o_1 _11027_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
+    .A2(_07107_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07109_),
     .X(_01842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11798_ (.A(_07626_),
-    .X(_07630_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11028_ (.A(_07106_),
+    .X(_07110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11799_ (.A(_07628_),
-    .X(_07631_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11029_ (.A(_07108_),
+    .X(_07111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11800_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
-    .A2(_07630_),
-    .B1(_07612_),
-    .B2(_07631_),
+ sky130_fd_sc_hd__a22o_1 _11030_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
+    .A2(_07110_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07111_),
     .X(_01841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11801_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
-    .A2(_07630_),
-    .B1(_07615_),
-    .B2(_07631_),
+ sky130_fd_sc_hd__a22o_1 _11031_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
+    .A2(_07110_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07111_),
     .X(_01840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11802_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
-    .A2(_07630_),
-    .B1(_07617_),
-    .B2(_07631_),
+ sky130_fd_sc_hd__a22o_1 _11032_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
+    .A2(_07110_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07111_),
     .X(_01839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11803_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
-    .A2(_07630_),
-    .B1(_07619_),
-    .B2(_07631_),
+ sky130_fd_sc_hd__a22o_1 _11033_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
+    .A2(_07110_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07111_),
     .X(_01838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11804_ (.A(_07488_),
-    .B(_07497_),
-    .X(_07632_),
+ sky130_fd_sc_hd__or2_2 _11034_ (.A(_07008_),
+    .B(_07017_),
+    .X(_07112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11805_ (.A(_07632_),
-    .X(_07633_),
+ sky130_fd_sc_hd__clkbuf_1 _11035_ (.A(_07112_),
+    .X(_07113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11806_ (.A(_07632_),
-    .Y(_07634_),
+ sky130_fd_sc_hd__inv_2 _11036_ (.A(_07112_),
+    .Y(_07114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11807_ (.A(_07634_),
-    .X(_07635_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11037_ (.A(_07114_),
+    .X(_07115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11808_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
-    .A2(_07633_),
-    .B1(_07601_),
-    .B2(_07635_),
+ sky130_fd_sc_hd__a22o_1 _11038_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
+    .A2(_07113_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07115_),
     .X(_01837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11809_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
-    .A2(_07633_),
-    .B1(_07605_),
-    .B2(_07635_),
+ sky130_fd_sc_hd__a22o_1 _11039_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
+    .A2(_07113_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07115_),
     .X(_01836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11810_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
-    .A2(_07633_),
-    .B1(_07607_),
-    .B2(_07635_),
+ sky130_fd_sc_hd__a22o_1 _11040_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
+    .A2(_07113_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07115_),
     .X(_01835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11811_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
-    .A2(_07633_),
-    .B1(_07609_),
-    .B2(_07635_),
+ sky130_fd_sc_hd__a22o_1 _11041_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
+    .A2(_07113_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07115_),
     .X(_01834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11812_ (.A(_07632_),
-    .X(_07636_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11042_ (.A(_07112_),
+    .X(_07116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11813_ (.A(_07634_),
-    .X(_07637_),
+ sky130_fd_sc_hd__clkbuf_1 _11043_ (.A(_07114_),
+    .X(_07117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11814_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
-    .A2(_07636_),
-    .B1(_07612_),
-    .B2(_07637_),
+ sky130_fd_sc_hd__a22o_1 _11044_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
+    .A2(_07116_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07117_),
     .X(_01833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11815_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
-    .A2(_07636_),
-    .B1(_07615_),
-    .B2(_07637_),
+ sky130_fd_sc_hd__a22o_1 _11045_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
+    .A2(_07116_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07117_),
     .X(_01832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11816_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
-    .A2(_07636_),
-    .B1(_07617_),
-    .B2(_07637_),
+ sky130_fd_sc_hd__a22o_1 _11046_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
+    .A2(_07116_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07117_),
     .X(_01831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11817_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
-    .A2(_07636_),
-    .B1(_07619_),
-    .B2(_07637_),
+ sky130_fd_sc_hd__a22o_1 _11047_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
+    .A2(_07116_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07117_),
     .X(_01830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11818_ (.A(_07495_),
-    .B(_06667_),
-    .X(_07638_),
+ sky130_fd_sc_hd__or2_1 _11048_ (.A(_07015_),
+    .B(_06323_),
+    .X(_07118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11819_ (.A(_06620_),
-    .B(_07638_),
-    .X(_07639_),
+ sky130_fd_sc_hd__or2_1 _11049_ (.A(_06905_),
+    .B(_07118_),
+    .X(_07119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11820_ (.A(_07639_),
-    .X(_07640_),
+ sky130_fd_sc_hd__clkbuf_2 _11050_ (.A(_07119_),
+    .X(_07120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11821_ (.A(_07343_),
-    .B(_07640_),
-    .X(_07641_),
+ sky130_fd_sc_hd__or2_1 _11051_ (.A(_06330_),
+    .B(_07120_),
+    .X(_07121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11822_ (.A(_07641_),
-    .X(_07642_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11052_ (.A(_07121_),
+    .X(_07122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11823_ (.A(_07600_),
-    .X(_07643_),
+ sky130_fd_sc_hd__inv_2 _11053_ (.A(_07121_),
+    .Y(_07123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11824_ (.A(_07641_),
-    .Y(_07644_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11054_ (.A(_07123_),
+    .X(_07124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11825_ (.A(_07644_),
-    .X(_07645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11826_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
-    .A2(_07642_),
-    .B1(_07643_),
-    .B2(_07645_),
+ sky130_fd_sc_hd__a22o_1 _11055_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
+    .A2(_07122_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07124_),
     .X(_01829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11827_ (.A(_07604_),
-    .X(_07646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11828_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
-    .A2(_07642_),
-    .B1(_07646_),
-    .B2(_07645_),
+ sky130_fd_sc_hd__a22o_1 _11056_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
+    .A2(_07122_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07124_),
     .X(_01828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11829_ (.A(_07606_),
-    .X(_07647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11830_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
-    .A2(_07642_),
-    .B1(_07647_),
-    .B2(_07645_),
+ sky130_fd_sc_hd__a22o_1 _11057_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
+    .A2(_07122_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07124_),
     .X(_01827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11831_ (.A(_07608_),
-    .X(_07648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11832_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
-    .A2(_07642_),
-    .B1(_07648_),
-    .B2(_07645_),
+ sky130_fd_sc_hd__a22o_1 _11058_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
+    .A2(_07122_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07124_),
     .X(_01826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11833_ (.A(_07641_),
-    .X(_07649_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11059_ (.A(_07121_),
+    .X(_07125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11834_ (.A(_07611_),
-    .X(_07650_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11060_ (.A(_07123_),
+    .X(_07126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11835_ (.A(_07644_),
-    .X(_07651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11836_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
-    .A2(_07649_),
-    .B1(_07650_),
-    .B2(_07651_),
+ sky130_fd_sc_hd__a22o_1 _11061_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
+    .A2(_07125_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07126_),
     .X(_01825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11837_ (.A(_07614_),
-    .X(_07652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11838_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
-    .A2(_07649_),
-    .B1(_07652_),
-    .B2(_07651_),
+ sky130_fd_sc_hd__a22o_1 _11062_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
+    .A2(_07125_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07126_),
     .X(_01824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11839_ (.A(_07616_),
-    .X(_07653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11840_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
-    .A2(_07649_),
-    .B1(_07653_),
-    .B2(_07651_),
+ sky130_fd_sc_hd__a22o_1 _11063_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
+    .A2(_07125_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07126_),
     .X(_01823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11841_ (.A(_07618_),
-    .X(_07654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11842_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
-    .A2(_07649_),
-    .B1(_07654_),
-    .B2(_07651_),
+ sky130_fd_sc_hd__a22o_1 _11064_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
+    .A2(_07125_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07126_),
     .X(_01822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11843_ (.A(_07638_),
-    .X(_07655_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11065_ (.A(_07118_),
+    .X(_07127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11844_ (.A(_07655_),
-    .X(_07656_),
+ sky130_fd_sc_hd__clkbuf_1 _11066_ (.A(_07127_),
+    .X(_07128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11845_ (.A(_07353_),
-    .B(_07656_),
-    .X(_07657_),
+ sky130_fd_sc_hd__or2_2 _11067_ (.A(_06915_),
+    .B(_07128_),
+    .X(_07129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11846_ (.A(_07657_),
-    .X(_07658_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11068_ (.A(_07129_),
+    .X(_07130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11847_ (.A(_07657_),
-    .Y(_07659_),
+ sky130_fd_sc_hd__inv_2 _11069_ (.A(_07129_),
+    .Y(_07131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11848_ (.A(_07659_),
-    .X(_07660_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11070_ (.A(_07131_),
+    .X(_07132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11849_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
-    .A2(_07658_),
-    .B1(_07643_),
-    .B2(_07660_),
+ sky130_fd_sc_hd__a22o_1 _11071_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
+    .A2(_07130_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07132_),
     .X(_01821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11850_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
-    .A2(_07658_),
-    .B1(_07646_),
-    .B2(_07660_),
+ sky130_fd_sc_hd__a22o_1 _11072_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
+    .A2(_07130_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07132_),
     .X(_01820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11851_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
-    .A2(_07658_),
-    .B1(_07647_),
-    .B2(_07660_),
+ sky130_fd_sc_hd__a22o_1 _11073_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
+    .A2(_07130_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07132_),
     .X(_01819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11852_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
-    .A2(_07658_),
-    .B1(_07648_),
-    .B2(_07660_),
+ sky130_fd_sc_hd__a22o_1 _11074_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
+    .A2(_07130_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07132_),
     .X(_01818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11853_ (.A(_07657_),
-    .X(_07661_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11075_ (.A(_07129_),
+    .X(_07133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11854_ (.A(_07659_),
-    .X(_07662_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11076_ (.A(_07131_),
+    .X(_07134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11855_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
-    .A2(_07661_),
-    .B1(_07650_),
-    .B2(_07662_),
+ sky130_fd_sc_hd__a22o_1 _11077_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
+    .A2(_07133_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07134_),
     .X(_01817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11856_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
-    .A2(_07661_),
-    .B1(_07652_),
-    .B2(_07662_),
+ sky130_fd_sc_hd__a22o_1 _11078_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
+    .A2(_07133_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07134_),
     .X(_01816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11857_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
-    .A2(_07661_),
-    .B1(_07653_),
-    .B2(_07662_),
+ sky130_fd_sc_hd__a22o_1 _11079_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
+    .A2(_07133_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07134_),
     .X(_01815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11858_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
-    .A2(_07661_),
-    .B1(_07654_),
-    .B2(_07662_),
+ sky130_fd_sc_hd__a22o_1 _11080_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
+    .A2(_07133_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07134_),
     .X(_01814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11859_ (.A(_07328_),
-    .B(_07656_),
-    .X(_07663_),
+ sky130_fd_sc_hd__or2_2 _11081_ (.A(_06898_),
+    .B(_07128_),
+    .X(_07135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11860_ (.A(_07663_),
-    .X(_07664_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11082_ (.A(_07135_),
+    .X(_07136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11861_ (.A(_07663_),
-    .Y(_07665_),
+ sky130_fd_sc_hd__inv_2 _11083_ (.A(_07135_),
+    .Y(_07137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11862_ (.A(_07665_),
-    .X(_07666_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11084_ (.A(_07137_),
+    .X(_07138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11863_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
-    .A2(_07664_),
-    .B1(_07643_),
-    .B2(_07666_),
+ sky130_fd_sc_hd__a22o_1 _11085_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
+    .A2(_07136_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07138_),
     .X(_01813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11864_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
-    .A2(_07664_),
-    .B1(_07646_),
-    .B2(_07666_),
+ sky130_fd_sc_hd__a22o_1 _11086_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
+    .A2(_07136_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07138_),
     .X(_01812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11865_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
-    .A2(_07664_),
-    .B1(_07647_),
-    .B2(_07666_),
+ sky130_fd_sc_hd__a22o_1 _11087_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
+    .A2(_07136_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07138_),
     .X(_01811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11866_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
-    .A2(_07664_),
-    .B1(_07648_),
-    .B2(_07666_),
+ sky130_fd_sc_hd__a22o_1 _11088_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
+    .A2(_07136_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07138_),
     .X(_01810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11867_ (.A(_07663_),
-    .X(_07667_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11089_ (.A(_07135_),
+    .X(_07139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11868_ (.A(_07665_),
-    .X(_07668_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11090_ (.A(_07137_),
+    .X(_07140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11869_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
-    .A2(_07667_),
-    .B1(_07650_),
-    .B2(_07668_),
+ sky130_fd_sc_hd__a22o_1 _11091_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
+    .A2(_07139_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07140_),
     .X(_01809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11870_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
-    .A2(_07667_),
-    .B1(_07652_),
-    .B2(_07668_),
+ sky130_fd_sc_hd__a22o_1 _11092_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
+    .A2(_07139_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07140_),
     .X(_01808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11871_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
-    .A2(_07667_),
-    .B1(_07653_),
-    .B2(_07668_),
+ sky130_fd_sc_hd__a22o_1 _11093_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
+    .A2(_07139_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07140_),
     .X(_01807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11872_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
-    .A2(_07667_),
-    .B1(_07654_),
-    .B2(_07668_),
+ sky130_fd_sc_hd__a22o_1 _11094_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
+    .A2(_07139_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07140_),
     .X(_01806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11873_ (.A(_07360_),
-    .B(_07656_),
-    .X(_07669_),
+ sky130_fd_sc_hd__or2_2 _11095_ (.A(_06922_),
+    .B(_07128_),
+    .X(_07141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11874_ (.A(_07669_),
-    .X(_07670_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11096_ (.A(_07141_),
+    .X(_07142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11875_ (.A(_07669_),
-    .Y(_07671_),
+ sky130_fd_sc_hd__inv_2 _11097_ (.A(_07141_),
+    .Y(_07143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11876_ (.A(_07671_),
-    .X(_07672_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11098_ (.A(_07143_),
+    .X(_07144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11877_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
-    .A2(_07670_),
-    .B1(_07643_),
-    .B2(_07672_),
+ sky130_fd_sc_hd__a22o_1 _11099_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
+    .A2(_07142_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07144_),
     .X(_01805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11878_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
-    .A2(_07670_),
-    .B1(_07646_),
-    .B2(_07672_),
+ sky130_fd_sc_hd__a22o_1 _11100_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
+    .A2(_07142_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07144_),
     .X(_01804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11879_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
-    .A2(_07670_),
-    .B1(_07647_),
-    .B2(_07672_),
+ sky130_fd_sc_hd__a22o_1 _11101_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
+    .A2(_07142_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07144_),
     .X(_01803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11880_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
-    .A2(_07670_),
-    .B1(_07648_),
-    .B2(_07672_),
+ sky130_fd_sc_hd__a22o_1 _11102_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
+    .A2(_07142_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07144_),
     .X(_01802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11881_ (.A(_07669_),
-    .X(_07673_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11103_ (.A(_07141_),
+    .X(_07145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11882_ (.A(_07671_),
-    .X(_07674_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11104_ (.A(_07143_),
+    .X(_07146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11883_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
-    .A2(_07673_),
-    .B1(_07650_),
-    .B2(_07674_),
+ sky130_fd_sc_hd__a22o_1 _11105_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
+    .A2(_07145_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07146_),
     .X(_01801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11884_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
-    .A2(_07673_),
-    .B1(_07652_),
-    .B2(_07674_),
+ sky130_fd_sc_hd__a22o_1 _11106_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
+    .A2(_07145_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07146_),
     .X(_01800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11885_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
-    .A2(_07673_),
-    .B1(_07653_),
-    .B2(_07674_),
+ sky130_fd_sc_hd__a22o_1 _11107_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
+    .A2(_07145_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07146_),
     .X(_01799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11886_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
-    .A2(_07673_),
-    .B1(_07654_),
-    .B2(_07674_),
+ sky130_fd_sc_hd__a22o_1 _11108_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
+    .A2(_07145_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07146_),
     .X(_01798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11887_ (.A(_07369_),
-    .B(_07656_),
-    .X(_07675_),
+ sky130_fd_sc_hd__or2_2 _11109_ (.A(_06930_),
+    .B(_07128_),
+    .X(_07147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11888_ (.A(_07675_),
-    .X(_07676_),
+ sky130_fd_sc_hd__clkbuf_1 _11110_ (.A(_07147_),
+    .X(_07148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11889_ (.A(_07600_),
-    .X(_07677_),
+ sky130_fd_sc_hd__inv_2 _11111_ (.A(_07147_),
+    .Y(_07149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11890_ (.A(_07675_),
-    .Y(_07678_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11112_ (.A(_07149_),
+    .X(_07150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11891_ (.A(_07678_),
-    .X(_07679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11892_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
-    .A2(_07676_),
-    .B1(_07677_),
-    .B2(_07679_),
+ sky130_fd_sc_hd__a22o_1 _11113_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
+    .A2(_07148_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07150_),
     .X(_01797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11893_ (.A(_07604_),
-    .X(_07680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11894_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
-    .A2(_07676_),
-    .B1(_07680_),
-    .B2(_07679_),
+ sky130_fd_sc_hd__a22o_1 _11114_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
+    .A2(_07148_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07150_),
     .X(_01796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11895_ (.A(_07606_),
-    .X(_07681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11896_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
-    .A2(_07676_),
-    .B1(_07681_),
-    .B2(_07679_),
+ sky130_fd_sc_hd__a22o_1 _11115_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
+    .A2(_07148_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07150_),
     .X(_01795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11897_ (.A(_07608_),
-    .X(_07682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11898_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
-    .A2(_07676_),
-    .B1(_07682_),
-    .B2(_07679_),
+ sky130_fd_sc_hd__a22o_1 _11116_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
+    .A2(_07148_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07150_),
     .X(_01794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11899_ (.A(_07675_),
-    .X(_07683_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11117_ (.A(_07147_),
+    .X(_07151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11900_ (.A(_07611_),
-    .X(_07684_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11118_ (.A(_07149_),
+    .X(_07152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11901_ (.A(_07678_),
-    .X(_07685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11902_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
-    .A2(_07683_),
-    .B1(_07684_),
-    .B2(_07685_),
+ sky130_fd_sc_hd__a22o_1 _11119_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
+    .A2(_07151_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07152_),
     .X(_01793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11903_ (.A(_07614_),
-    .X(_07686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11904_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
-    .A2(_07683_),
-    .B1(_07686_),
-    .B2(_07685_),
+ sky130_fd_sc_hd__a22o_1 _11120_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
+    .A2(_07151_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07152_),
     .X(_01792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11905_ (.A(_07616_),
-    .X(_07687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11906_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
-    .A2(_07683_),
-    .B1(_07687_),
-    .B2(_07685_),
+ sky130_fd_sc_hd__a22o_1 _11121_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
+    .A2(_07151_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07152_),
     .X(_01791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11907_ (.A(_07618_),
-    .X(_07688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11908_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
-    .A2(_07683_),
-    .B1(_07688_),
-    .B2(_07685_),
+ sky130_fd_sc_hd__a22o_1 _11122_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
+    .A2(_07151_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07152_),
     .X(_01790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11909_ (.A(_07384_),
-    .B(_07655_),
-    .X(_07689_),
+ sky130_fd_sc_hd__or2_2 _11123_ (.A(_06937_),
+    .B(_07127_),
+    .X(_07153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11910_ (.A(_07689_),
-    .X(_07690_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11124_ (.A(_07153_),
+    .X(_07154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11911_ (.A(_07689_),
-    .Y(_07691_),
+ sky130_fd_sc_hd__inv_2 _11125_ (.A(_07153_),
+    .Y(_07155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11912_ (.A(_07691_),
-    .X(_07692_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11126_ (.A(_07155_),
+    .X(_07156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11913_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
-    .A2(_07690_),
-    .B1(_07677_),
-    .B2(_07692_),
+ sky130_fd_sc_hd__a22o_1 _11127_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
+    .A2(_07154_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07156_),
     .X(_01789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11914_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
-    .A2(_07690_),
-    .B1(_07680_),
-    .B2(_07692_),
+ sky130_fd_sc_hd__a22o_1 _11128_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
+    .A2(_07154_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07156_),
     .X(_01788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11915_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
-    .A2(_07690_),
-    .B1(_07681_),
-    .B2(_07692_),
+ sky130_fd_sc_hd__a22o_1 _11129_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
+    .A2(_07154_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07156_),
     .X(_01787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11916_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
-    .A2(_07690_),
-    .B1(_07682_),
-    .B2(_07692_),
+ sky130_fd_sc_hd__a22o_1 _11130_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
+    .A2(_07154_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07156_),
     .X(_01786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11917_ (.A(_07689_),
-    .X(_07693_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11131_ (.A(_07153_),
+    .X(_07157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11918_ (.A(_07691_),
-    .X(_07694_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11132_ (.A(_07155_),
+    .X(_07158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11919_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
-    .A2(_07693_),
-    .B1(_07684_),
-    .B2(_07694_),
+ sky130_fd_sc_hd__a22o_1 _11133_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
+    .A2(_07157_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07158_),
     .X(_01785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11920_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
-    .A2(_07693_),
-    .B1(_07686_),
-    .B2(_07694_),
+ sky130_fd_sc_hd__a22o_1 _11134_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
+    .A2(_07157_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07158_),
     .X(_01784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11921_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
-    .A2(_07693_),
-    .B1(_07687_),
-    .B2(_07694_),
+ sky130_fd_sc_hd__a22o_1 _11135_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
+    .A2(_07157_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07158_),
     .X(_01783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11922_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
-    .A2(_07693_),
-    .B1(_07688_),
-    .B2(_07694_),
+ sky130_fd_sc_hd__a22o_1 _11136_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
+    .A2(_07157_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07158_),
     .X(_01782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11923_ (.A(_07391_),
-    .B(_07655_),
-    .X(_07695_),
+ sky130_fd_sc_hd__or2_2 _11137_ (.A(_06944_),
+    .B(_07127_),
+    .X(_07159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11924_ (.A(_07695_),
-    .X(_07696_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11138_ (.A(_07159_),
+    .X(_07160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11925_ (.A(_07695_),
-    .Y(_07697_),
+ sky130_fd_sc_hd__inv_2 _11139_ (.A(_07159_),
+    .Y(_07161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11926_ (.A(_07697_),
-    .X(_07698_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11140_ (.A(_07161_),
+    .X(_07162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11927_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
-    .A2(_07696_),
-    .B1(_07677_),
-    .B2(_07698_),
+ sky130_fd_sc_hd__a22o_1 _11141_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
+    .A2(_07160_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07162_),
     .X(_01781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11928_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
-    .A2(_07696_),
-    .B1(_07680_),
-    .B2(_07698_),
+ sky130_fd_sc_hd__a22o_1 _11142_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
+    .A2(_07160_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07162_),
     .X(_01780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11929_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
-    .A2(_07696_),
-    .B1(_07681_),
-    .B2(_07698_),
+ sky130_fd_sc_hd__a22o_1 _11143_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
+    .A2(_07160_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07162_),
     .X(_01779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11930_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
-    .A2(_07696_),
-    .B1(_07682_),
-    .B2(_07698_),
+ sky130_fd_sc_hd__a22o_1 _11144_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
+    .A2(_07160_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07162_),
     .X(_01778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11931_ (.A(_07695_),
-    .X(_07699_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11145_ (.A(_07159_),
+    .X(_07163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11932_ (.A(_07697_),
-    .X(_07700_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11146_ (.A(_07161_),
+    .X(_07164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11933_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
-    .A2(_07699_),
-    .B1(_07684_),
-    .B2(_07700_),
+ sky130_fd_sc_hd__a22o_1 _11147_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
+    .A2(_07163_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07164_),
     .X(_01777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11934_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
-    .A2(_07699_),
-    .B1(_07686_),
-    .B2(_07700_),
+ sky130_fd_sc_hd__a22o_1 _11148_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
+    .A2(_07163_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07164_),
     .X(_01776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11935_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
-    .A2(_07699_),
-    .B1(_07687_),
-    .B2(_07700_),
+ sky130_fd_sc_hd__a22o_1 _11149_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
+    .A2(_07163_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07164_),
     .X(_01775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11936_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
-    .A2(_07699_),
-    .B1(_07688_),
-    .B2(_07700_),
+ sky130_fd_sc_hd__a22o_1 _11150_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
+    .A2(_07163_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07164_),
     .X(_01774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11937_ (.A(_07398_),
-    .B(_07655_),
-    .X(_07701_),
+ sky130_fd_sc_hd__or2_1 _11151_ (.A(_06951_),
+    .B(_07127_),
+    .X(_07165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11938_ (.A(_07701_),
-    .X(_07702_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11152_ (.A(_07165_),
+    .X(_07166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11939_ (.A(_07701_),
-    .Y(_07703_),
+ sky130_fd_sc_hd__inv_2 _11153_ (.A(_07165_),
+    .Y(_07167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11940_ (.A(_07703_),
-    .X(_07704_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11154_ (.A(_07167_),
+    .X(_07168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11941_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
-    .A2(_07702_),
-    .B1(_07677_),
-    .B2(_07704_),
+ sky130_fd_sc_hd__a22o_1 _11155_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
+    .A2(_07166_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07168_),
     .X(_01773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11942_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
-    .A2(_07702_),
-    .B1(_07680_),
-    .B2(_07704_),
+ sky130_fd_sc_hd__a22o_1 _11156_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
+    .A2(_07166_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07168_),
     .X(_01772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11943_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
-    .A2(_07702_),
-    .B1(_07681_),
-    .B2(_07704_),
+ sky130_fd_sc_hd__a22o_1 _11157_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
+    .A2(_07166_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07168_),
     .X(_01771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11944_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
-    .A2(_07702_),
-    .B1(_07682_),
-    .B2(_07704_),
+ sky130_fd_sc_hd__a22o_1 _11158_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
+    .A2(_07166_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07168_),
     .X(_01770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11945_ (.A(_07701_),
-    .X(_07705_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11159_ (.A(_07165_),
+    .X(_07169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11946_ (.A(_07703_),
-    .X(_07706_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11160_ (.A(_07167_),
+    .X(_07170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11947_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
-    .A2(_07705_),
-    .B1(_07684_),
-    .B2(_07706_),
+ sky130_fd_sc_hd__a22o_1 _11161_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
+    .A2(_07169_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07170_),
     .X(_01769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11948_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
-    .A2(_07705_),
-    .B1(_07686_),
-    .B2(_07706_),
+ sky130_fd_sc_hd__a22o_1 _11162_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
+    .A2(_07169_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07170_),
     .X(_01768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11949_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
-    .A2(_07705_),
-    .B1(_07687_),
-    .B2(_07706_),
+ sky130_fd_sc_hd__a22o_1 _11163_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
+    .A2(_07169_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07170_),
     .X(_01767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11950_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
-    .A2(_07705_),
-    .B1(_07688_),
-    .B2(_07706_),
+ sky130_fd_sc_hd__a22o_1 _11164_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
+    .A2(_07169_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07170_),
     .X(_01766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11951_ (.A(_07405_),
-    .B(_07640_),
-    .X(_07707_),
+ sky130_fd_sc_hd__or2_2 _11165_ (.A(_06958_),
+    .B(_07120_),
+    .X(_07171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11952_ (.A(_07707_),
-    .X(_07708_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11166_ (.A(_07171_),
+    .X(_07172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11953_ (.A(_07600_),
-    .X(_07709_),
+ sky130_fd_sc_hd__inv_2 _11167_ (.A(_07171_),
+    .Y(_07173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11954_ (.A(_07707_),
-    .Y(_07710_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11168_ (.A(_07173_),
+    .X(_07174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11955_ (.A(_07710_),
-    .X(_07711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11956_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
-    .A2(_07708_),
-    .B1(_07709_),
-    .B2(_07711_),
+ sky130_fd_sc_hd__a22o_1 _11169_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
+    .A2(_07172_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07174_),
     .X(_01765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11957_ (.A(_07604_),
-    .X(_07712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11958_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
-    .A2(_07708_),
-    .B1(_07712_),
-    .B2(_07711_),
+ sky130_fd_sc_hd__a22o_1 _11170_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
+    .A2(_07172_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07174_),
     .X(_01764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11959_ (.A(_07606_),
-    .X(_07713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11960_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
-    .A2(_07708_),
-    .B1(_07713_),
-    .B2(_07711_),
+ sky130_fd_sc_hd__a22o_1 _11171_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
+    .A2(_07172_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07174_),
     .X(_01763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11961_ (.A(_07608_),
-    .X(_07714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11962_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
-    .A2(_07708_),
-    .B1(_07714_),
-    .B2(_07711_),
+ sky130_fd_sc_hd__a22o_1 _11172_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
+    .A2(_07172_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07174_),
     .X(_01762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11963_ (.A(_07707_),
-    .X(_07715_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11173_ (.A(_07171_),
+    .X(_07175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11964_ (.A(_07611_),
-    .X(_07716_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11174_ (.A(_07173_),
+    .X(_07176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11965_ (.A(_07710_),
-    .X(_07717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11966_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
-    .A2(_07715_),
-    .B1(_07716_),
-    .B2(_07717_),
+ sky130_fd_sc_hd__a22o_1 _11175_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
+    .A2(_07175_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07176_),
     .X(_01761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11967_ (.A(_07614_),
-    .X(_07718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11968_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
-    .A2(_07715_),
-    .B1(_07718_),
-    .B2(_07717_),
+ sky130_fd_sc_hd__a22o_1 _11176_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
+    .A2(_07175_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07176_),
     .X(_01760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11969_ (.A(_07616_),
-    .X(_07719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11970_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
-    .A2(_07715_),
-    .B1(_07719_),
-    .B2(_07717_),
+ sky130_fd_sc_hd__a22o_1 _11177_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
+    .A2(_07175_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07176_),
     .X(_01759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _11971_ (.A(_07618_),
-    .X(_07720_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11972_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
-    .A2(_07715_),
-    .B1(_07720_),
-    .B2(_07717_),
+ sky130_fd_sc_hd__a22o_1 _11178_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
+    .A2(_07175_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07176_),
     .X(_01758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _11973_ (.A(_07421_),
-    .B(_07640_),
-    .X(_07721_),
+ sky130_fd_sc_hd__or2_1 _11179_ (.A(_06966_),
+    .B(_07120_),
+    .X(_07177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11974_ (.A(_07721_),
-    .X(_07722_),
+ sky130_fd_sc_hd__clkbuf_1 _11180_ (.A(_07177_),
+    .X(_07178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11975_ (.A(_07721_),
-    .Y(_07723_),
+ sky130_fd_sc_hd__inv_2 _11181_ (.A(_07177_),
+    .Y(_07179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11976_ (.A(_07723_),
-    .X(_07724_),
+ sky130_fd_sc_hd__clkbuf_1 _11182_ (.A(_07179_),
+    .X(_07180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11977_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
-    .A2(_07722_),
-    .B1(_07709_),
-    .B2(_07724_),
+ sky130_fd_sc_hd__a22o_1 _11183_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
+    .A2(_07178_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07180_),
     .X(_01757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11978_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
-    .A2(_07722_),
-    .B1(_07712_),
-    .B2(_07724_),
+ sky130_fd_sc_hd__a22o_1 _11184_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
+    .A2(_07178_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07180_),
     .X(_01756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11979_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
-    .A2(_07722_),
-    .B1(_07713_),
-    .B2(_07724_),
+ sky130_fd_sc_hd__a22o_1 _11185_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
+    .A2(_07178_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07180_),
     .X(_01755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11980_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
-    .A2(_07722_),
-    .B1(_07714_),
-    .B2(_07724_),
+ sky130_fd_sc_hd__a22o_1 _11186_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
+    .A2(_07178_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07180_),
     .X(_01754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11981_ (.A(_07721_),
-    .X(_07725_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11187_ (.A(_07177_),
+    .X(_07181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11982_ (.A(_07723_),
-    .X(_07726_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11188_ (.A(_07179_),
+    .X(_07182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11983_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
-    .A2(_07725_),
-    .B1(_07716_),
-    .B2(_07726_),
+ sky130_fd_sc_hd__a22o_1 _11189_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
+    .A2(_07181_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07182_),
     .X(_01753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11984_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
-    .A2(_07725_),
-    .B1(_07718_),
-    .B2(_07726_),
+ sky130_fd_sc_hd__a22o_1 _11190_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
+    .A2(_07181_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07182_),
     .X(_01752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11985_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
-    .A2(_07725_),
-    .B1(_07719_),
-    .B2(_07726_),
+ sky130_fd_sc_hd__a22o_1 _11191_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
+    .A2(_07181_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07182_),
     .X(_01751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11986_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
-    .A2(_07725_),
-    .B1(_07720_),
-    .B2(_07726_),
+ sky130_fd_sc_hd__a22o_1 _11192_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
+    .A2(_07181_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07182_),
     .X(_01750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _11987_ (.A(_07428_),
-    .B(_07640_),
-    .X(_07727_),
+ sky130_fd_sc_hd__or2_1 _11193_ (.A(_06973_),
+    .B(_07120_),
+    .X(_07183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11988_ (.A(_07727_),
-    .X(_07728_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11194_ (.A(_07183_),
+    .X(_07184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11989_ (.A(_07727_),
-    .Y(_07729_),
+ sky130_fd_sc_hd__inv_2 _11195_ (.A(_07183_),
+    .Y(_07185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11990_ (.A(_07729_),
-    .X(_07730_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11196_ (.A(_07185_),
+    .X(_07186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11991_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
-    .A2(_07728_),
-    .B1(_07709_),
-    .B2(_07730_),
+ sky130_fd_sc_hd__a22o_1 _11197_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
+    .A2(_07184_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07186_),
     .X(_01749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11992_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
-    .A2(_07728_),
-    .B1(_07712_),
-    .B2(_07730_),
+ sky130_fd_sc_hd__a22o_1 _11198_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
+    .A2(_07184_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07186_),
     .X(_01748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11993_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
-    .A2(_07728_),
-    .B1(_07713_),
-    .B2(_07730_),
+ sky130_fd_sc_hd__a22o_1 _11199_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
+    .A2(_07184_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07186_),
     .X(_01747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11994_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
-    .A2(_07728_),
-    .B1(_07714_),
-    .B2(_07730_),
+ sky130_fd_sc_hd__a22o_1 _11200_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
+    .A2(_07184_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07186_),
     .X(_01746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11995_ (.A(_07727_),
-    .X(_07731_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11201_ (.A(_07183_),
+    .X(_07187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _11996_ (.A(_07729_),
-    .X(_07732_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11202_ (.A(_07185_),
+    .X(_07188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11997_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
-    .A2(_07731_),
-    .B1(_07716_),
-    .B2(_07732_),
+ sky130_fd_sc_hd__a22o_1 _11203_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
+    .A2(_07187_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07188_),
     .X(_01745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11998_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
-    .A2(_07731_),
-    .B1(_07718_),
-    .B2(_07732_),
+ sky130_fd_sc_hd__a22o_1 _11204_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
+    .A2(_07187_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07188_),
     .X(_01744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _11999_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
-    .A2(_07731_),
-    .B1(_07719_),
-    .B2(_07732_),
+ sky130_fd_sc_hd__a22o_1 _11205_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
+    .A2(_07187_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07188_),
     .X(_01743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12000_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
-    .A2(_07731_),
-    .B1(_07720_),
-    .B2(_07732_),
+ sky130_fd_sc_hd__a22o_1 _11206_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
+    .A2(_07187_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07188_),
     .X(_01742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12001_ (.A(_07639_),
-    .X(_07733_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11207_ (.A(_07119_),
+    .X(_07189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12002_ (.A(_07436_),
-    .B(_07733_),
-    .X(_07734_),
+ sky130_fd_sc_hd__or2_1 _11208_ (.A(_06980_),
+    .B(_07189_),
+    .X(_07190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12003_ (.A(_07734_),
-    .X(_07735_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11209_ (.A(_07190_),
+    .X(_07191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12004_ (.A(_07734_),
-    .Y(_07736_),
+ sky130_fd_sc_hd__inv_2 _11210_ (.A(_07190_),
+    .Y(_07192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12005_ (.A(_07736_),
-    .X(_07737_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11211_ (.A(_07192_),
+    .X(_07193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12006_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
-    .A2(_07735_),
-    .B1(_07709_),
-    .B2(_07737_),
+ sky130_fd_sc_hd__a22o_1 _11212_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
+    .A2(_07191_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07193_),
     .X(_01741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12007_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
-    .A2(_07735_),
-    .B1(_07712_),
-    .B2(_07737_),
+ sky130_fd_sc_hd__a22o_1 _11213_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
+    .A2(_07191_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07193_),
     .X(_01740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12008_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
-    .A2(_07735_),
-    .B1(_07713_),
-    .B2(_07737_),
+ sky130_fd_sc_hd__a22o_1 _11214_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
+    .A2(_07191_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07193_),
     .X(_01739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12009_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
-    .A2(_07735_),
-    .B1(_07714_),
-    .B2(_07737_),
+ sky130_fd_sc_hd__a22o_1 _11215_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
+    .A2(_07191_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07193_),
     .X(_01738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12010_ (.A(_07734_),
-    .X(_07738_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11216_ (.A(_07190_),
+    .X(_07194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12011_ (.A(_07736_),
-    .X(_07739_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11217_ (.A(_07192_),
+    .X(_07195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12012_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
-    .A2(_07738_),
-    .B1(_07716_),
-    .B2(_07739_),
+ sky130_fd_sc_hd__a22o_1 _11218_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
+    .A2(_07194_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07195_),
     .X(_01737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12013_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
-    .A2(_07738_),
-    .B1(_07718_),
-    .B2(_07739_),
+ sky130_fd_sc_hd__a22o_1 _11219_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
+    .A2(_07194_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07195_),
     .X(_01736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12014_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
-    .A2(_07738_),
-    .B1(_07719_),
-    .B2(_07739_),
+ sky130_fd_sc_hd__a22o_1 _11220_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
+    .A2(_07194_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07195_),
     .X(_01735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12015_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
-    .A2(_07738_),
-    .B1(_07720_),
-    .B2(_07739_),
+ sky130_fd_sc_hd__a22o_1 _11221_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
+    .A2(_07194_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07195_),
     .X(_01734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12016_ (.A(_07443_),
-    .B(_07733_),
-    .X(_07740_),
+ sky130_fd_sc_hd__or2_2 _11222_ (.A(_06987_),
+    .B(_07189_),
+    .X(_07196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12017_ (.A(_07740_),
-    .X(_07741_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11223_ (.A(_07196_),
+    .X(_07197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12018_ (.A(_07446_),
-    .X(_07742_),
+ sky130_fd_sc_hd__inv_2 _11224_ (.A(_07196_),
+    .Y(_07198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12019_ (.A(_07742_),
-    .X(_07743_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11225_ (.A(_07198_),
+    .X(_07199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12020_ (.A(_07740_),
-    .Y(_07744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12021_ (.A(_07744_),
-    .X(_07745_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12022_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
-    .A2(_07741_),
-    .B1(_07743_),
-    .B2(_07745_),
+ sky130_fd_sc_hd__a22o_1 _11226_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
+    .A2(_07197_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07199_),
     .X(_01733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12023_ (.A(_07451_),
-    .X(_07746_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12024_ (.A(_07746_),
-    .X(_07747_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12025_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
-    .A2(_07741_),
-    .B1(_07747_),
-    .B2(_07745_),
+ sky130_fd_sc_hd__a22o_1 _11227_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
+    .A2(_07197_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07199_),
     .X(_01732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12026_ (.A(_07454_),
-    .X(_07748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12027_ (.A(_07748_),
-    .X(_07749_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12028_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
-    .A2(_07741_),
-    .B1(_07749_),
-    .B2(_07745_),
+ sky130_fd_sc_hd__a22o_1 _11228_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
+    .A2(_07197_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07199_),
     .X(_01731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12029_ (.A(_07457_),
-    .X(_07750_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12030_ (.A(_07750_),
-    .X(_07751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12031_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
-    .A2(_07741_),
-    .B1(_07751_),
-    .B2(_07745_),
+ sky130_fd_sc_hd__a22o_1 _11229_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
+    .A2(_07197_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07199_),
     .X(_01730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12032_ (.A(_07740_),
-    .X(_07752_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11230_ (.A(_07196_),
+    .X(_07200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12033_ (.A(_07461_),
-    .X(_07753_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11231_ (.A(_07198_),
+    .X(_07201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12034_ (.A(_07753_),
-    .X(_07754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12035_ (.A(_07744_),
-    .X(_07755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12036_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
-    .A2(_07752_),
-    .B1(_07754_),
-    .B2(_07755_),
+ sky130_fd_sc_hd__a22o_1 _11232_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
+    .A2(_07200_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07201_),
     .X(_01729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12037_ (.A(_07465_),
-    .X(_07756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12038_ (.A(_07756_),
-    .X(_07757_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12039_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
-    .A2(_07752_),
-    .B1(_07757_),
-    .B2(_07755_),
+ sky130_fd_sc_hd__a22o_1 _11233_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
+    .A2(_07200_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07201_),
     .X(_01728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12040_ (.A(_07468_),
-    .X(_07758_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12041_ (.A(_07758_),
-    .X(_07759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12042_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
-    .A2(_07752_),
-    .B1(_07759_),
-    .B2(_07755_),
+ sky130_fd_sc_hd__a22o_1 _11234_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
+    .A2(_07200_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07201_),
     .X(_01727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12043_ (.A(_07471_),
-    .X(_07760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12044_ (.A(_07760_),
-    .X(_07761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12045_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
-    .A2(_07752_),
-    .B1(_07761_),
-    .B2(_07755_),
+ sky130_fd_sc_hd__a22o_1 _11235_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
+    .A2(_07200_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07201_),
     .X(_01726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12046_ (.A(_07474_),
-    .B(_07733_),
-    .X(_07762_),
+ sky130_fd_sc_hd__or2_1 _11236_ (.A(_06994_),
+    .B(_07189_),
+    .X(_07202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12047_ (.A(_07762_),
-    .X(_07763_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11237_ (.A(_07202_),
+    .X(_07203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12048_ (.A(_07762_),
-    .Y(_07764_),
+ sky130_fd_sc_hd__inv_2 _11238_ (.A(_07202_),
+    .Y(_07204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12049_ (.A(_07764_),
-    .X(_07765_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11239_ (.A(_07204_),
+    .X(_07205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12050_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
-    .A2(_07763_),
-    .B1(_07743_),
-    .B2(_07765_),
+ sky130_fd_sc_hd__a22o_1 _11240_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
+    .A2(_07203_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07205_),
     .X(_01725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12051_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
-    .A2(_07763_),
-    .B1(_07747_),
-    .B2(_07765_),
+ sky130_fd_sc_hd__a22o_1 _11241_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
+    .A2(_07203_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07205_),
     .X(_01724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12052_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
-    .A2(_07763_),
-    .B1(_07749_),
-    .B2(_07765_),
+ sky130_fd_sc_hd__a22o_1 _11242_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
+    .A2(_07203_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07205_),
     .X(_01723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12053_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
-    .A2(_07763_),
-    .B1(_07751_),
-    .B2(_07765_),
+ sky130_fd_sc_hd__a22o_1 _11243_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
+    .A2(_07203_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07205_),
     .X(_01722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12054_ (.A(_07762_),
-    .X(_07766_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11244_ (.A(_07202_),
+    .X(_07206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12055_ (.A(_07764_),
-    .X(_07767_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11245_ (.A(_07204_),
+    .X(_07207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12056_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
-    .A2(_07766_),
-    .B1(_07754_),
-    .B2(_07767_),
+ sky130_fd_sc_hd__a22o_1 _11246_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
+    .A2(_07206_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07207_),
     .X(_01721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12057_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
-    .A2(_07766_),
-    .B1(_07757_),
-    .B2(_07767_),
+ sky130_fd_sc_hd__a22o_1 _11247_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
+    .A2(_07206_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07207_),
     .X(_01720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12058_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
-    .A2(_07766_),
-    .B1(_07759_),
-    .B2(_07767_),
+ sky130_fd_sc_hd__a22o_1 _11248_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
+    .A2(_07206_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07207_),
     .X(_01719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12059_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
-    .A2(_07766_),
-    .B1(_07761_),
-    .B2(_07767_),
+ sky130_fd_sc_hd__a22o_1 _11249_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
+    .A2(_07206_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07207_),
     .X(_01718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12060_ (.A(_07481_),
-    .B(_07733_),
-    .X(_07768_),
+ sky130_fd_sc_hd__or2_2 _11250_ (.A(_07001_),
+    .B(_07189_),
+    .X(_07208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12061_ (.A(_07768_),
-    .X(_07769_),
+ sky130_fd_sc_hd__clkbuf_1 _11251_ (.A(_07208_),
+    .X(_07209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12062_ (.A(_07768_),
-    .Y(_07770_),
+ sky130_fd_sc_hd__inv_2 _11252_ (.A(_07208_),
+    .Y(_07210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12063_ (.A(_07770_),
-    .X(_07771_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11253_ (.A(_07210_),
+    .X(_07211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12064_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
-    .A2(_07769_),
-    .B1(_07743_),
-    .B2(_07771_),
+ sky130_fd_sc_hd__a22o_1 _11254_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
+    .A2(_07209_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07211_),
     .X(_01717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12065_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
-    .A2(_07769_),
-    .B1(_07747_),
-    .B2(_07771_),
+ sky130_fd_sc_hd__a22o_1 _11255_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
+    .A2(_07209_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07211_),
     .X(_01716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12066_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
-    .A2(_07769_),
-    .B1(_07749_),
-    .B2(_07771_),
+ sky130_fd_sc_hd__a22o_1 _11256_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
+    .A2(_07209_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07211_),
     .X(_01715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12067_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
-    .A2(_07769_),
-    .B1(_07751_),
-    .B2(_07771_),
+ sky130_fd_sc_hd__a22o_1 _11257_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
+    .A2(_07209_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07211_),
     .X(_01714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12068_ (.A(_07768_),
-    .X(_07772_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11258_ (.A(_07208_),
+    .X(_07212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12069_ (.A(_07770_),
-    .X(_07773_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11259_ (.A(_07210_),
+    .X(_07213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12070_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
-    .A2(_07772_),
-    .B1(_07754_),
-    .B2(_07773_),
+ sky130_fd_sc_hd__a22o_1 _11260_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
+    .A2(_07212_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07213_),
     .X(_01713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12071_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
-    .A2(_07772_),
-    .B1(_07757_),
-    .B2(_07773_),
+ sky130_fd_sc_hd__a22o_1 _11261_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
+    .A2(_07212_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07213_),
     .X(_01712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12072_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
-    .A2(_07772_),
-    .B1(_07759_),
-    .B2(_07773_),
+ sky130_fd_sc_hd__a22o_1 _11262_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
+    .A2(_07212_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07213_),
     .X(_01711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12073_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
-    .A2(_07772_),
-    .B1(_07761_),
-    .B2(_07773_),
+ sky130_fd_sc_hd__a22o_1 _11263_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
+    .A2(_07212_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07213_),
     .X(_01710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12074_ (.A(_07488_),
-    .B(_07639_),
-    .X(_07774_),
+ sky130_fd_sc_hd__or2_2 _11264_ (.A(_07008_),
+    .B(_07119_),
+    .X(_07214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12075_ (.A(_07774_),
-    .X(_07775_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11265_ (.A(_07214_),
+    .X(_07215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12076_ (.A(_07774_),
-    .Y(_07776_),
+ sky130_fd_sc_hd__inv_2 _11266_ (.A(_07214_),
+    .Y(_07216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12077_ (.A(_07776_),
-    .X(_07777_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11267_ (.A(_07216_),
+    .X(_07217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12078_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
-    .A2(_07775_),
-    .B1(_07743_),
-    .B2(_07777_),
+ sky130_fd_sc_hd__a22o_1 _11268_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
+    .A2(_07215_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07217_),
     .X(_01709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12079_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
-    .A2(_07775_),
-    .B1(_07747_),
-    .B2(_07777_),
+ sky130_fd_sc_hd__a22o_1 _11269_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
+    .A2(_07215_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07217_),
     .X(_01708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12080_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
-    .A2(_07775_),
-    .B1(_07749_),
-    .B2(_07777_),
+ sky130_fd_sc_hd__a22o_1 _11270_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
+    .A2(_07215_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07217_),
     .X(_01707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12081_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
-    .A2(_07775_),
-    .B1(_07751_),
-    .B2(_07777_),
+ sky130_fd_sc_hd__a22o_1 _11271_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
+    .A2(_07215_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07217_),
     .X(_01706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12082_ (.A(_07774_),
-    .X(_07778_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11272_ (.A(_07214_),
+    .X(_07218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12083_ (.A(_07776_),
-    .X(_07779_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11273_ (.A(_07216_),
+    .X(_07219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12084_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
-    .A2(_07778_),
-    .B1(_07754_),
-    .B2(_07779_),
+ sky130_fd_sc_hd__a22o_1 _11274_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
+    .A2(_07218_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07219_),
     .X(_01705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12085_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
-    .A2(_07778_),
-    .B1(_07757_),
-    .B2(_07779_),
+ sky130_fd_sc_hd__a22o_1 _11275_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
+    .A2(_07218_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07219_),
     .X(_01704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12086_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
-    .A2(_07778_),
-    .B1(_07759_),
-    .B2(_07779_),
+ sky130_fd_sc_hd__a22o_1 _11276_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
+    .A2(_07218_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07219_),
     .X(_01703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12087_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
-    .A2(_07778_),
-    .B1(_07761_),
-    .B2(_07779_),
+ sky130_fd_sc_hd__a22o_1 _11277_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
+    .A2(_07218_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07219_),
     .X(_01702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _12088_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
+ sky130_fd_sc_hd__or3_1 _11278_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
     .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
-    .C(_07322_),
-    .X(_07780_),
+    .C(_06892_),
+    .X(_07220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12089_ (.A(_06620_),
-    .B(_07780_),
-    .X(_07781_),
+ sky130_fd_sc_hd__or2_1 _11279_ (.A(_06905_),
+    .B(_07220_),
+    .X(_07221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12090_ (.A(_07781_),
-    .X(_07782_),
+ sky130_fd_sc_hd__clkbuf_2 _11280_ (.A(_07221_),
+    .X(_07222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12091_ (.A(_07343_),
-    .B(_07782_),
-    .X(_07783_),
+ sky130_fd_sc_hd__or2_2 _11281_ (.A(_06329_),
+    .B(_07222_),
+    .X(_07223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12092_ (.A(_07783_),
-    .X(_07784_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11282_ (.A(_07223_),
+    .X(_07224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12093_ (.A(_07742_),
-    .X(_07785_),
+ sky130_fd_sc_hd__inv_2 _11283_ (.A(_07223_),
+    .Y(_07225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12094_ (.A(_07783_),
-    .Y(_07786_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11284_ (.A(_07225_),
+    .X(_07226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12095_ (.A(_07786_),
-    .X(_07787_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12096_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
-    .A2(_07784_),
-    .B1(_07785_),
-    .B2(_07787_),
+ sky130_fd_sc_hd__a22o_1 _11285_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
+    .A2(_07224_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07226_),
     .X(_01701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12097_ (.A(_07746_),
-    .X(_07788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12098_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
-    .A2(_07784_),
-    .B1(_07788_),
-    .B2(_07787_),
+ sky130_fd_sc_hd__a22o_1 _11286_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
+    .A2(_07224_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07226_),
     .X(_01700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12099_ (.A(_07748_),
-    .X(_07789_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12100_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
-    .A2(_07784_),
-    .B1(_07789_),
-    .B2(_07787_),
+ sky130_fd_sc_hd__a22o_1 _11287_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
+    .A2(_07224_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07226_),
     .X(_01699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12101_ (.A(_07750_),
-    .X(_07790_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12102_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
-    .A2(_07784_),
-    .B1(_07790_),
-    .B2(_07787_),
+ sky130_fd_sc_hd__a22o_1 _11288_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
+    .A2(_07224_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07226_),
     .X(_01698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12103_ (.A(_07783_),
-    .X(_07791_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11289_ (.A(_07223_),
+    .X(_07227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12104_ (.A(_07753_),
-    .X(_07792_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11290_ (.A(_07225_),
+    .X(_07228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12105_ (.A(_07786_),
-    .X(_07793_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12106_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
-    .A2(_07791_),
-    .B1(_07792_),
-    .B2(_07793_),
+ sky130_fd_sc_hd__a22o_1 _11291_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
+    .A2(_07227_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07228_),
     .X(_01697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12107_ (.A(_07756_),
-    .X(_07794_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12108_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
-    .A2(_07791_),
-    .B1(_07794_),
-    .B2(_07793_),
+ sky130_fd_sc_hd__a22o_1 _11292_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
+    .A2(_07227_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07228_),
     .X(_01696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12109_ (.A(_07758_),
-    .X(_07795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12110_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
-    .A2(_07791_),
-    .B1(_07795_),
-    .B2(_07793_),
+ sky130_fd_sc_hd__a22o_1 _11293_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
+    .A2(_07227_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07228_),
     .X(_01695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12111_ (.A(_07760_),
-    .X(_07796_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12112_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
-    .A2(_07791_),
-    .B1(_07796_),
-    .B2(_07793_),
+ sky130_fd_sc_hd__a22o_1 _11294_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
+    .A2(_07227_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07228_),
     .X(_01694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12113_ (.A(_07780_),
-    .X(_07797_),
+ sky130_fd_sc_hd__buf_2 _11295_ (.A(_07220_),
+    .X(_07229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12114_ (.A(_07797_),
-    .X(_07798_),
+ sky130_fd_sc_hd__clkbuf_2 _11296_ (.A(_07229_),
+    .X(_07230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12115_ (.A(_07353_),
-    .B(_07798_),
-    .X(_07799_),
+ sky130_fd_sc_hd__or2_2 _11297_ (.A(_06915_),
+    .B(_07230_),
+    .X(_07231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12116_ (.A(_07799_),
-    .X(_07800_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11298_ (.A(_07231_),
+    .X(_07232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12117_ (.A(_07799_),
-    .Y(_07801_),
+ sky130_fd_sc_hd__inv_2 _11299_ (.A(_07231_),
+    .Y(_07233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12118_ (.A(_07801_),
-    .X(_07802_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11300_ (.A(_07233_),
+    .X(_07234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12119_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
-    .A2(_07800_),
-    .B1(_07785_),
-    .B2(_07802_),
+ sky130_fd_sc_hd__a22o_1 _11301_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
+    .A2(_07232_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07234_),
     .X(_01693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12120_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
-    .A2(_07800_),
-    .B1(_07788_),
-    .B2(_07802_),
+ sky130_fd_sc_hd__a22o_1 _11302_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
+    .A2(_07232_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07234_),
     .X(_01692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12121_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
-    .A2(_07800_),
-    .B1(_07789_),
-    .B2(_07802_),
+ sky130_fd_sc_hd__a22o_1 _11303_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
+    .A2(_07232_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07234_),
     .X(_01691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12122_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
-    .A2(_07800_),
-    .B1(_07790_),
-    .B2(_07802_),
+ sky130_fd_sc_hd__a22o_1 _11304_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
+    .A2(_07232_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07234_),
     .X(_01690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12123_ (.A(_07799_),
-    .X(_07803_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11305_ (.A(_07231_),
+    .X(_07235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12124_ (.A(_07801_),
-    .X(_07804_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11306_ (.A(_07233_),
+    .X(_07236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12125_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
-    .A2(_07803_),
-    .B1(_07792_),
-    .B2(_07804_),
+ sky130_fd_sc_hd__a22o_1 _11307_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
+    .A2(_07235_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07236_),
     .X(_01689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12126_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
-    .A2(_07803_),
-    .B1(_07794_),
-    .B2(_07804_),
+ sky130_fd_sc_hd__a22o_1 _11308_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
+    .A2(_07235_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07236_),
     .X(_01688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12127_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
-    .A2(_07803_),
-    .B1(_07795_),
-    .B2(_07804_),
+ sky130_fd_sc_hd__a22o_1 _11309_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
+    .A2(_07235_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07236_),
     .X(_01687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12128_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
-    .A2(_07803_),
-    .B1(_07796_),
-    .B2(_07804_),
+ sky130_fd_sc_hd__a22o_1 _11310_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
+    .A2(_07235_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07236_),
     .X(_01686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12129_ (.A(_07328_),
-    .B(_07798_),
-    .X(_07805_),
+ sky130_fd_sc_hd__or2_2 _11311_ (.A(_06898_),
+    .B(_07230_),
+    .X(_07237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12130_ (.A(_07805_),
-    .X(_07806_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11312_ (.A(_07237_),
+    .X(_07238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12131_ (.A(_07805_),
-    .Y(_07807_),
+ sky130_fd_sc_hd__inv_2 _11313_ (.A(_07237_),
+    .Y(_07239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12132_ (.A(_07807_),
-    .X(_07808_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11314_ (.A(_07239_),
+    .X(_07240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12133_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
-    .A2(_07806_),
-    .B1(_07785_),
-    .B2(_07808_),
+ sky130_fd_sc_hd__a22o_1 _11315_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
+    .A2(_07238_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07240_),
     .X(_01685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12134_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
-    .A2(_07806_),
-    .B1(_07788_),
-    .B2(_07808_),
+ sky130_fd_sc_hd__a22o_1 _11316_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
+    .A2(_07238_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07240_),
     .X(_01684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12135_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
-    .A2(_07806_),
-    .B1(_07789_),
-    .B2(_07808_),
+ sky130_fd_sc_hd__a22o_1 _11317_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
+    .A2(_07238_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07240_),
     .X(_01683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12136_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
-    .A2(_07806_),
-    .B1(_07790_),
-    .B2(_07808_),
+ sky130_fd_sc_hd__a22o_1 _11318_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
+    .A2(_07238_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07240_),
     .X(_01682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12137_ (.A(_07805_),
-    .X(_07809_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11319_ (.A(_07237_),
+    .X(_07241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12138_ (.A(_07807_),
-    .X(_07810_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11320_ (.A(_07239_),
+    .X(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12139_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
-    .A2(_07809_),
-    .B1(_07792_),
-    .B2(_07810_),
+ sky130_fd_sc_hd__a22o_1 _11321_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
+    .A2(_07241_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07242_),
     .X(_01681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12140_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
-    .A2(_07809_),
-    .B1(_07794_),
-    .B2(_07810_),
+ sky130_fd_sc_hd__a22o_1 _11322_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
+    .A2(_07241_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07242_),
     .X(_01680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12141_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
-    .A2(_07809_),
-    .B1(_07795_),
-    .B2(_07810_),
+ sky130_fd_sc_hd__a22o_1 _11323_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
+    .A2(_07241_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07242_),
     .X(_01679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12142_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
-    .A2(_07809_),
-    .B1(_07796_),
-    .B2(_07810_),
+ sky130_fd_sc_hd__a22o_1 _11324_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
+    .A2(_07241_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07242_),
     .X(_01678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12143_ (.A(_07360_),
-    .B(_07798_),
-    .X(_07811_),
+ sky130_fd_sc_hd__or2_1 _11325_ (.A(_06922_),
+    .B(_07230_),
+    .X(_07243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12144_ (.A(_07811_),
-    .X(_07812_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11326_ (.A(_07243_),
+    .X(_07244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12145_ (.A(_07811_),
-    .Y(_07813_),
+ sky130_fd_sc_hd__inv_2 _11327_ (.A(_07243_),
+    .Y(_07245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12146_ (.A(_07813_),
-    .X(_07814_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11328_ (.A(_07245_),
+    .X(_07246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12147_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
-    .A2(_07812_),
-    .B1(_07785_),
-    .B2(_07814_),
+ sky130_fd_sc_hd__a22o_1 _11329_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
+    .A2(_07244_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07246_),
     .X(_01677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12148_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
-    .A2(_07812_),
-    .B1(_07788_),
-    .B2(_07814_),
+ sky130_fd_sc_hd__a22o_1 _11330_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
+    .A2(_07244_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07246_),
     .X(_01676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12149_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
-    .A2(_07812_),
-    .B1(_07789_),
-    .B2(_07814_),
+ sky130_fd_sc_hd__a22o_1 _11331_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
+    .A2(_07244_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07246_),
     .X(_01675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12150_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
-    .A2(_07812_),
-    .B1(_07790_),
-    .B2(_07814_),
+ sky130_fd_sc_hd__a22o_1 _11332_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
+    .A2(_07244_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07246_),
     .X(_01674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12151_ (.A(_07811_),
-    .X(_07815_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11333_ (.A(_07243_),
+    .X(_07247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12152_ (.A(_07813_),
-    .X(_07816_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11334_ (.A(_07245_),
+    .X(_07248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12153_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
-    .A2(_07815_),
-    .B1(_07792_),
-    .B2(_07816_),
+ sky130_fd_sc_hd__a22o_1 _11335_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
+    .A2(_07247_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07248_),
     .X(_01673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12154_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
-    .A2(_07815_),
-    .B1(_07794_),
-    .B2(_07816_),
+ sky130_fd_sc_hd__a22o_1 _11336_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
+    .A2(_07247_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07248_),
     .X(_01672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12155_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
-    .A2(_07815_),
-    .B1(_07795_),
-    .B2(_07816_),
+ sky130_fd_sc_hd__a22o_1 _11337_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
+    .A2(_07247_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07248_),
     .X(_01671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12156_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
-    .A2(_07815_),
-    .B1(_07796_),
-    .B2(_07816_),
+ sky130_fd_sc_hd__a22o_1 _11338_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
+    .A2(_07247_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07248_),
     .X(_01670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12157_ (.A(_07369_),
-    .B(_07798_),
-    .X(_07817_),
+ sky130_fd_sc_hd__or2_1 _11339_ (.A(_06930_),
+    .B(_07230_),
+    .X(_07249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12158_ (.A(_07817_),
-    .X(_07818_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11340_ (.A(_07249_),
+    .X(_07250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12159_ (.A(_07742_),
-    .X(_07819_),
+ sky130_fd_sc_hd__inv_2 _11341_ (.A(_07249_),
+    .Y(_07251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12160_ (.A(_07817_),
-    .Y(_07820_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11342_ (.A(_07251_),
+    .X(_07252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12161_ (.A(_07820_),
-    .X(_07821_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12162_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
-    .A2(_07818_),
-    .B1(_07819_),
-    .B2(_07821_),
+ sky130_fd_sc_hd__a22o_1 _11343_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
+    .A2(_07250_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07252_),
     .X(_01669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12163_ (.A(_07746_),
-    .X(_07822_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12164_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
-    .A2(_07818_),
-    .B1(_07822_),
-    .B2(_07821_),
+ sky130_fd_sc_hd__a22o_1 _11344_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
+    .A2(_07250_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07252_),
     .X(_01668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12165_ (.A(_07748_),
-    .X(_07823_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12166_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
-    .A2(_07818_),
-    .B1(_07823_),
-    .B2(_07821_),
+ sky130_fd_sc_hd__a22o_1 _11345_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
+    .A2(_07250_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07252_),
     .X(_01667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12167_ (.A(_07750_),
-    .X(_07824_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12168_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
-    .A2(_07818_),
-    .B1(_07824_),
-    .B2(_07821_),
+ sky130_fd_sc_hd__a22o_1 _11346_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
+    .A2(_07250_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07252_),
     .X(_01666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12169_ (.A(_07817_),
-    .X(_07825_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11347_ (.A(_07249_),
+    .X(_07253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12170_ (.A(_07753_),
-    .X(_07826_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11348_ (.A(_07251_),
+    .X(_07254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12171_ (.A(_07820_),
-    .X(_07827_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12172_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
-    .A2(_07825_),
-    .B1(_07826_),
-    .B2(_07827_),
+ sky130_fd_sc_hd__a22o_1 _11349_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
+    .A2(_07253_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07254_),
     .X(_01665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12173_ (.A(_07756_),
-    .X(_07828_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12174_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
-    .A2(_07825_),
-    .B1(_07828_),
-    .B2(_07827_),
+ sky130_fd_sc_hd__a22o_1 _11350_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
+    .A2(_07253_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07254_),
     .X(_01664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12175_ (.A(_07758_),
-    .X(_07829_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12176_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
-    .A2(_07825_),
-    .B1(_07829_),
-    .B2(_07827_),
+ sky130_fd_sc_hd__a22o_1 _11351_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
+    .A2(_07253_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07254_),
     .X(_01663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12177_ (.A(_07760_),
-    .X(_07830_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12178_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
-    .A2(_07825_),
-    .B1(_07830_),
-    .B2(_07827_),
+ sky130_fd_sc_hd__a22o_1 _11352_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
+    .A2(_07253_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07254_),
     .X(_01662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12179_ (.A(_07384_),
-    .B(_07797_),
-    .X(_07831_),
+ sky130_fd_sc_hd__or2_2 _11353_ (.A(_06937_),
+    .B(_07229_),
+    .X(_07255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12180_ (.A(_07831_),
-    .X(_07832_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11354_ (.A(_07255_),
+    .X(_07256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12181_ (.A(_07831_),
-    .Y(_07833_),
+ sky130_fd_sc_hd__inv_2 _11355_ (.A(_07255_),
+    .Y(_07257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12182_ (.A(_07833_),
-    .X(_07834_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11356_ (.A(_07257_),
+    .X(_07258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12183_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
-    .A2(_07832_),
-    .B1(_07819_),
-    .B2(_07834_),
+ sky130_fd_sc_hd__a22o_1 _11357_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
+    .A2(_07256_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07258_),
     .X(_01661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12184_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
-    .A2(_07832_),
-    .B1(_07822_),
-    .B2(_07834_),
+ sky130_fd_sc_hd__a22o_1 _11358_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
+    .A2(_07256_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07258_),
     .X(_01660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12185_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
-    .A2(_07832_),
-    .B1(_07823_),
-    .B2(_07834_),
+ sky130_fd_sc_hd__a22o_1 _11359_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
+    .A2(_07256_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07258_),
     .X(_01659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12186_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
-    .A2(_07832_),
-    .B1(_07824_),
-    .B2(_07834_),
+ sky130_fd_sc_hd__a22o_1 _11360_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
+    .A2(_07256_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07258_),
     .X(_01658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12187_ (.A(_07831_),
-    .X(_07835_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11361_ (.A(_07255_),
+    .X(_07259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12188_ (.A(_07833_),
-    .X(_07836_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11362_ (.A(_07257_),
+    .X(_07260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12189_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
-    .A2(_07835_),
-    .B1(_07826_),
-    .B2(_07836_),
+ sky130_fd_sc_hd__a22o_1 _11363_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
+    .A2(_07259_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07260_),
     .X(_01657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12190_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
-    .A2(_07835_),
-    .B1(_07828_),
-    .B2(_07836_),
+ sky130_fd_sc_hd__a22o_1 _11364_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
+    .A2(_07259_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07260_),
     .X(_01656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12191_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
-    .A2(_07835_),
-    .B1(_07829_),
-    .B2(_07836_),
+ sky130_fd_sc_hd__a22o_1 _11365_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
+    .A2(_07259_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07260_),
     .X(_01655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12192_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
-    .A2(_07835_),
-    .B1(_07830_),
-    .B2(_07836_),
+ sky130_fd_sc_hd__a22o_1 _11366_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
+    .A2(_07259_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07260_),
     .X(_01654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12193_ (.A(_07391_),
-    .B(_07797_),
-    .X(_07837_),
+ sky130_fd_sc_hd__or2_1 _11367_ (.A(_06944_),
+    .B(_07229_),
+    .X(_07261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12194_ (.A(_07837_),
-    .X(_07838_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11368_ (.A(_07261_),
+    .X(_07262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12195_ (.A(_07837_),
-    .Y(_07839_),
+ sky130_fd_sc_hd__inv_2 _11369_ (.A(_07261_),
+    .Y(_07263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12196_ (.A(_07839_),
-    .X(_07840_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11370_ (.A(_07263_),
+    .X(_07264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12197_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
-    .A2(_07838_),
-    .B1(_07819_),
-    .B2(_07840_),
+ sky130_fd_sc_hd__a22o_1 _11371_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
+    .A2(_07262_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07264_),
     .X(_01653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12198_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
-    .A2(_07838_),
-    .B1(_07822_),
-    .B2(_07840_),
+ sky130_fd_sc_hd__a22o_1 _11372_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
+    .A2(_07262_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07264_),
     .X(_01652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12199_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
-    .A2(_07838_),
-    .B1(_07823_),
-    .B2(_07840_),
+ sky130_fd_sc_hd__a22o_1 _11373_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
+    .A2(_07262_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07264_),
     .X(_01651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12200_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
-    .A2(_07838_),
-    .B1(_07824_),
-    .B2(_07840_),
+ sky130_fd_sc_hd__a22o_1 _11374_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
+    .A2(_07262_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07264_),
     .X(_01650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12201_ (.A(_07837_),
-    .X(_07841_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11375_ (.A(_07261_),
+    .X(_07265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12202_ (.A(_07839_),
-    .X(_07842_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11376_ (.A(_07263_),
+    .X(_07266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12203_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
-    .A2(_07841_),
-    .B1(_07826_),
-    .B2(_07842_),
+ sky130_fd_sc_hd__a22o_1 _11377_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
+    .A2(_07265_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07266_),
     .X(_01649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12204_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
-    .A2(_07841_),
-    .B1(_07828_),
-    .B2(_07842_),
+ sky130_fd_sc_hd__a22o_1 _11378_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
+    .A2(_07265_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07266_),
     .X(_01648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12205_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
-    .A2(_07841_),
-    .B1(_07829_),
-    .B2(_07842_),
+ sky130_fd_sc_hd__a22o_1 _11379_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
+    .A2(_07265_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07266_),
     .X(_01647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12206_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
-    .A2(_07841_),
-    .B1(_07830_),
-    .B2(_07842_),
+ sky130_fd_sc_hd__a22o_1 _11380_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
+    .A2(_07265_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07266_),
     .X(_01646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12207_ (.A(_07398_),
-    .B(_07797_),
-    .X(_07843_),
+ sky130_fd_sc_hd__or2_2 _11381_ (.A(_06951_),
+    .B(_07229_),
+    .X(_07267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12208_ (.A(_07843_),
-    .X(_07844_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11382_ (.A(_07267_),
+    .X(_07268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12209_ (.A(_07843_),
-    .Y(_07845_),
+ sky130_fd_sc_hd__inv_2 _11383_ (.A(_07267_),
+    .Y(_07269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12210_ (.A(_07845_),
-    .X(_07846_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11384_ (.A(_07269_),
+    .X(_07270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12211_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
-    .A2(_07844_),
-    .B1(_07819_),
-    .B2(_07846_),
+ sky130_fd_sc_hd__a22o_1 _11385_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
+    .A2(_07268_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07270_),
     .X(_01645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12212_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
-    .A2(_07844_),
-    .B1(_07822_),
-    .B2(_07846_),
+ sky130_fd_sc_hd__a22o_1 _11386_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
+    .A2(_07268_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07270_),
     .X(_01644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12213_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
-    .A2(_07844_),
-    .B1(_07823_),
-    .B2(_07846_),
+ sky130_fd_sc_hd__a22o_1 _11387_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
+    .A2(_07268_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07270_),
     .X(_01643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12214_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
-    .A2(_07844_),
-    .B1(_07824_),
-    .B2(_07846_),
+ sky130_fd_sc_hd__a22o_1 _11388_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
+    .A2(_07268_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07270_),
     .X(_01642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12215_ (.A(_07843_),
-    .X(_07847_),
+ sky130_fd_sc_hd__clkbuf_1 _11389_ (.A(_07267_),
+    .X(_07271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12216_ (.A(_07845_),
-    .X(_07848_),
+ sky130_fd_sc_hd__clkbuf_1 _11390_ (.A(_07269_),
+    .X(_07272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12217_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
-    .A2(_07847_),
-    .B1(_07826_),
-    .B2(_07848_),
+ sky130_fd_sc_hd__a22o_1 _11391_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
+    .A2(_07271_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07272_),
     .X(_01641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12218_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
-    .A2(_07847_),
-    .B1(_07828_),
-    .B2(_07848_),
+ sky130_fd_sc_hd__a22o_1 _11392_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
+    .A2(_07271_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07272_),
     .X(_01640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12219_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
-    .A2(_07847_),
-    .B1(_07829_),
-    .B2(_07848_),
+ sky130_fd_sc_hd__a22o_1 _11393_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
+    .A2(_07271_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07272_),
     .X(_01639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12220_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
-    .A2(_07847_),
-    .B1(_07830_),
-    .B2(_07848_),
+ sky130_fd_sc_hd__a22o_1 _11394_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
+    .A2(_07271_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07272_),
     .X(_01638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12221_ (.A(_07405_),
-    .B(_07782_),
-    .X(_07849_),
+ sky130_fd_sc_hd__or2_1 _11395_ (.A(_06958_),
+    .B(_07222_),
+    .X(_07273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12222_ (.A(_07849_),
-    .X(_07850_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11396_ (.A(_07273_),
+    .X(_07274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12223_ (.A(_07742_),
-    .X(_07851_),
+ sky130_fd_sc_hd__inv_2 _11397_ (.A(_07273_),
+    .Y(_07275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12224_ (.A(_07849_),
-    .Y(_07852_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11398_ (.A(_07275_),
+    .X(_07276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12225_ (.A(_07852_),
-    .X(_07853_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12226_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
-    .A2(_07850_),
-    .B1(_07851_),
-    .B2(_07853_),
+ sky130_fd_sc_hd__a22o_1 _11399_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
+    .A2(_07274_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07276_),
     .X(_01637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12227_ (.A(_07746_),
-    .X(_07854_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12228_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
-    .A2(_07850_),
-    .B1(_07854_),
-    .B2(_07853_),
+ sky130_fd_sc_hd__a22o_1 _11400_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
+    .A2(_07274_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07276_),
     .X(_01636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12229_ (.A(_07748_),
-    .X(_07855_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12230_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
-    .A2(_07850_),
-    .B1(_07855_),
-    .B2(_07853_),
+ sky130_fd_sc_hd__a22o_1 _11401_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
+    .A2(_07274_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07276_),
     .X(_01635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12231_ (.A(_07750_),
-    .X(_07856_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12232_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
-    .A2(_07850_),
-    .B1(_07856_),
-    .B2(_07853_),
+ sky130_fd_sc_hd__a22o_1 _11402_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
+    .A2(_07274_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07276_),
     .X(_01634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12233_ (.A(_07849_),
-    .X(_07857_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11403_ (.A(_07273_),
+    .X(_07277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12234_ (.A(_07753_),
-    .X(_07858_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11404_ (.A(_07275_),
+    .X(_07278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12235_ (.A(_07852_),
-    .X(_07859_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12236_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
-    .A2(_07857_),
-    .B1(_07858_),
-    .B2(_07859_),
+ sky130_fd_sc_hd__a22o_1 _11405_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
+    .A2(_07277_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07278_),
     .X(_01633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12237_ (.A(_07756_),
-    .X(_07860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12238_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
-    .A2(_07857_),
-    .B1(_07860_),
-    .B2(_07859_),
+ sky130_fd_sc_hd__a22o_1 _11406_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
+    .A2(_07277_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07278_),
     .X(_01632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12239_ (.A(_07758_),
-    .X(_07861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12240_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
-    .A2(_07857_),
-    .B1(_07861_),
-    .B2(_07859_),
+ sky130_fd_sc_hd__a22o_1 _11407_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
+    .A2(_07277_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07278_),
     .X(_01631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12241_ (.A(_07760_),
-    .X(_07862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12242_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
-    .A2(_07857_),
-    .B1(_07862_),
-    .B2(_07859_),
+ sky130_fd_sc_hd__a22o_1 _11408_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
+    .A2(_07277_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07278_),
     .X(_01630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12243_ (.A(_07421_),
-    .B(_07782_),
-    .X(_07863_),
+ sky130_fd_sc_hd__or2_2 _11409_ (.A(_06966_),
+    .B(_07222_),
+    .X(_07279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12244_ (.A(_07863_),
-    .X(_07864_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11410_ (.A(_07279_),
+    .X(_07280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12245_ (.A(_07863_),
-    .Y(_07865_),
+ sky130_fd_sc_hd__inv_2 _11411_ (.A(_07279_),
+    .Y(_07281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12246_ (.A(_07865_),
-    .X(_07866_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11412_ (.A(_07281_),
+    .X(_07282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12247_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
-    .A2(_07864_),
-    .B1(_07851_),
-    .B2(_07866_),
+ sky130_fd_sc_hd__a22o_1 _11413_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
+    .A2(_07280_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07282_),
     .X(_01629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12248_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
-    .A2(_07864_),
-    .B1(_07854_),
-    .B2(_07866_),
+ sky130_fd_sc_hd__a22o_1 _11414_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
+    .A2(_07280_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07282_),
     .X(_01628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12249_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
-    .A2(_07864_),
-    .B1(_07855_),
-    .B2(_07866_),
+ sky130_fd_sc_hd__a22o_1 _11415_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
+    .A2(_07280_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07282_),
     .X(_01627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12250_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
-    .A2(_07864_),
-    .B1(_07856_),
-    .B2(_07866_),
+ sky130_fd_sc_hd__a22o_1 _11416_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
+    .A2(_07280_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07282_),
     .X(_01626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12251_ (.A(_07863_),
-    .X(_07867_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11417_ (.A(_07279_),
+    .X(_07283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12252_ (.A(_07865_),
-    .X(_07868_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11418_ (.A(_07281_),
+    .X(_07284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12253_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
-    .A2(_07867_),
-    .B1(_07858_),
-    .B2(_07868_),
+ sky130_fd_sc_hd__a22o_1 _11419_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
+    .A2(_07283_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07284_),
     .X(_01625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12254_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
-    .A2(_07867_),
-    .B1(_07860_),
-    .B2(_07868_),
+ sky130_fd_sc_hd__a22o_1 _11420_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
+    .A2(_07283_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07284_),
     .X(_01624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12255_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
-    .A2(_07867_),
-    .B1(_07861_),
-    .B2(_07868_),
+ sky130_fd_sc_hd__a22o_1 _11421_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
+    .A2(_07283_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07284_),
     .X(_01623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12256_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
-    .A2(_07867_),
-    .B1(_07862_),
-    .B2(_07868_),
+ sky130_fd_sc_hd__a22o_1 _11422_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
+    .A2(_07283_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07284_),
     .X(_01622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12257_ (.A(_07428_),
-    .B(_07782_),
-    .X(_07869_),
+ sky130_fd_sc_hd__or2_2 _11423_ (.A(_06973_),
+    .B(_07222_),
+    .X(_07285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12258_ (.A(_07869_),
-    .X(_07870_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11424_ (.A(_07285_),
+    .X(_07286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12259_ (.A(_07869_),
-    .Y(_07871_),
+ sky130_fd_sc_hd__inv_2 _11425_ (.A(_07285_),
+    .Y(_07287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12260_ (.A(_07871_),
-    .X(_07872_),
+ sky130_fd_sc_hd__clkbuf_1 _11426_ (.A(_07287_),
+    .X(_07288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12261_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
-    .A2(_07870_),
-    .B1(_07851_),
-    .B2(_07872_),
+ sky130_fd_sc_hd__a22o_1 _11427_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
+    .A2(_07286_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07288_),
     .X(_01621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12262_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
-    .A2(_07870_),
-    .B1(_07854_),
-    .B2(_07872_),
+ sky130_fd_sc_hd__a22o_1 _11428_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
+    .A2(_07286_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07288_),
     .X(_01620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12263_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
-    .A2(_07870_),
-    .B1(_07855_),
-    .B2(_07872_),
+ sky130_fd_sc_hd__a22o_1 _11429_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
+    .A2(_07286_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07288_),
     .X(_01619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12264_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
-    .A2(_07870_),
-    .B1(_07856_),
-    .B2(_07872_),
+ sky130_fd_sc_hd__a22o_1 _11430_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
+    .A2(_07286_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07288_),
     .X(_01618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12265_ (.A(_07869_),
-    .X(_07873_),
+ sky130_fd_sc_hd__clkbuf_1 _11431_ (.A(_07285_),
+    .X(_07289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12266_ (.A(_07871_),
-    .X(_07874_),
+ sky130_fd_sc_hd__clkbuf_1 _11432_ (.A(_07287_),
+    .X(_07290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12267_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
-    .A2(_07873_),
-    .B1(_07858_),
-    .B2(_07874_),
+ sky130_fd_sc_hd__a22o_1 _11433_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
+    .A2(_07289_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07290_),
     .X(_01617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12268_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
-    .A2(_07873_),
-    .B1(_07860_),
-    .B2(_07874_),
+ sky130_fd_sc_hd__a22o_1 _11434_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
+    .A2(_07289_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07290_),
     .X(_01616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12269_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
-    .A2(_07873_),
-    .B1(_07861_),
-    .B2(_07874_),
+ sky130_fd_sc_hd__a22o_1 _11435_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
+    .A2(_07289_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07290_),
     .X(_01615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12270_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
-    .A2(_07873_),
-    .B1(_07862_),
-    .B2(_07874_),
+ sky130_fd_sc_hd__a22o_1 _11436_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
+    .A2(_07289_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07290_),
     .X(_01614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12271_ (.A(_07781_),
-    .X(_07875_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11437_ (.A(_07221_),
+    .X(_07291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12272_ (.A(_07436_),
-    .B(_07875_),
-    .X(_07876_),
+ sky130_fd_sc_hd__or2_2 _11438_ (.A(_06980_),
+    .B(_07291_),
+    .X(_07292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12273_ (.A(_07876_),
-    .X(_07877_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11439_ (.A(_07292_),
+    .X(_07293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12274_ (.A(_07876_),
-    .Y(_07878_),
+ sky130_fd_sc_hd__inv_2 _11440_ (.A(_07292_),
+    .Y(_07294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12275_ (.A(_07878_),
-    .X(_07879_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11441_ (.A(_07294_),
+    .X(_07295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12276_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
-    .A2(_07877_),
-    .B1(_07851_),
-    .B2(_07879_),
+ sky130_fd_sc_hd__a22o_1 _11442_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
+    .A2(_07293_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07295_),
     .X(_01613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12277_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
-    .A2(_07877_),
-    .B1(_07854_),
-    .B2(_07879_),
+ sky130_fd_sc_hd__a22o_1 _11443_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
+    .A2(_07293_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07295_),
     .X(_01612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12278_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
-    .A2(_07877_),
-    .B1(_07855_),
-    .B2(_07879_),
+ sky130_fd_sc_hd__a22o_1 _11444_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
+    .A2(_07293_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07295_),
     .X(_01611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12279_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
-    .A2(_07877_),
-    .B1(_07856_),
-    .B2(_07879_),
+ sky130_fd_sc_hd__a22o_1 _11445_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
+    .A2(_07293_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07295_),
     .X(_01610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12280_ (.A(_07876_),
-    .X(_07880_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11446_ (.A(_07292_),
+    .X(_07296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12281_ (.A(_07878_),
-    .X(_07881_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11447_ (.A(_07294_),
+    .X(_07297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12282_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
-    .A2(_07880_),
-    .B1(_07858_),
-    .B2(_07881_),
+ sky130_fd_sc_hd__a22o_1 _11448_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
+    .A2(_07296_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07297_),
     .X(_01609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12283_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
-    .A2(_07880_),
-    .B1(_07860_),
-    .B2(_07881_),
+ sky130_fd_sc_hd__a22o_1 _11449_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
+    .A2(_07296_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07297_),
     .X(_01608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12284_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
-    .A2(_07880_),
-    .B1(_07861_),
-    .B2(_07881_),
+ sky130_fd_sc_hd__a22o_1 _11450_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
+    .A2(_07296_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07297_),
     .X(_01607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12285_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
-    .A2(_07880_),
-    .B1(_07862_),
-    .B2(_07881_),
+ sky130_fd_sc_hd__a22o_1 _11451_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
+    .A2(_07296_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07297_),
     .X(_01606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12286_ (.A(_07443_),
-    .B(_07875_),
-    .X(_07882_),
+ sky130_fd_sc_hd__or2_2 _11452_ (.A(_06987_),
+    .B(_07291_),
+    .X(_07298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12287_ (.A(_07882_),
-    .X(_07883_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11453_ (.A(_07298_),
+    .X(_07299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12288_ (.A(_07446_),
-    .X(_07884_),
+ sky130_fd_sc_hd__inv_2 _11454_ (.A(_07298_),
+    .Y(_07300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12289_ (.A(_07882_),
-    .Y(_07885_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11455_ (.A(_07300_),
+    .X(_07301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12290_ (.A(_07885_),
-    .X(_07886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12291_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
-    .A2(_07883_),
-    .B1(_07884_),
-    .B2(_07886_),
+ sky130_fd_sc_hd__a22o_1 _11456_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
+    .A2(_07299_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07301_),
     .X(_01605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12292_ (.A(_07451_),
-    .X(_07887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12293_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
-    .A2(_07883_),
-    .B1(_07887_),
-    .B2(_07886_),
+ sky130_fd_sc_hd__a22o_1 _11457_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
+    .A2(_07299_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07301_),
     .X(_01604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12294_ (.A(_07454_),
-    .X(_07888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12295_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
-    .A2(_07883_),
-    .B1(_07888_),
-    .B2(_07886_),
+ sky130_fd_sc_hd__a22o_1 _11458_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
+    .A2(_07299_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07301_),
     .X(_01603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12296_ (.A(_07457_),
-    .X(_07889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12297_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
-    .A2(_07883_),
-    .B1(_07889_),
-    .B2(_07886_),
+ sky130_fd_sc_hd__a22o_1 _11459_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
+    .A2(_07299_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07301_),
     .X(_01602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12298_ (.A(_07882_),
-    .X(_07890_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11460_ (.A(_07298_),
+    .X(_07302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12299_ (.A(_07461_),
-    .X(_07891_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11461_ (.A(_07300_),
+    .X(_07303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12300_ (.A(_07885_),
-    .X(_07892_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12301_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
-    .A2(_07890_),
-    .B1(_07891_),
-    .B2(_07892_),
+ sky130_fd_sc_hd__a22o_1 _11462_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
+    .A2(_07302_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07303_),
     .X(_01601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12302_ (.A(_07465_),
-    .X(_07893_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12303_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
-    .A2(_07890_),
-    .B1(_07893_),
-    .B2(_07892_),
+ sky130_fd_sc_hd__a22o_1 _11463_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
+    .A2(_07302_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07303_),
     .X(_01600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12304_ (.A(_07468_),
-    .X(_07894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12305_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
-    .A2(_07890_),
-    .B1(_07894_),
-    .B2(_07892_),
+ sky130_fd_sc_hd__a22o_1 _11464_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
+    .A2(_07302_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07303_),
     .X(_01599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12306_ (.A(_07471_),
-    .X(_07895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12307_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
-    .A2(_07890_),
-    .B1(_07895_),
-    .B2(_07892_),
+ sky130_fd_sc_hd__a22o_1 _11465_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
+    .A2(_07302_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07303_),
     .X(_01598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12308_ (.A(_07474_),
-    .B(_07875_),
-    .X(_07896_),
+ sky130_fd_sc_hd__or2_2 _11466_ (.A(_06994_),
+    .B(_07291_),
+    .X(_07304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12309_ (.A(_07896_),
-    .X(_07897_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11467_ (.A(_07304_),
+    .X(_07305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12310_ (.A(_07896_),
-    .Y(_07898_),
+ sky130_fd_sc_hd__inv_2 _11468_ (.A(_07304_),
+    .Y(_07306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12311_ (.A(_07898_),
-    .X(_07899_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11469_ (.A(_07306_),
+    .X(_07307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12312_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
-    .A2(_07897_),
-    .B1(_07884_),
-    .B2(_07899_),
+ sky130_fd_sc_hd__a22o_1 _11470_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
+    .A2(_07305_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07307_),
     .X(_01597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12313_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ),
-    .A2(_07897_),
-    .B1(_07887_),
-    .B2(_07899_),
+ sky130_fd_sc_hd__a22o_1 _11471_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ),
+    .A2(_07305_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07307_),
     .X(_01596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12314_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
-    .A2(_07897_),
-    .B1(_07888_),
-    .B2(_07899_),
+ sky130_fd_sc_hd__a22o_1 _11472_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
+    .A2(_07305_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07307_),
     .X(_01595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12315_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
-    .A2(_07897_),
-    .B1(_07889_),
-    .B2(_07899_),
+ sky130_fd_sc_hd__a22o_1 _11473_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
+    .A2(_07305_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07307_),
     .X(_01594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12316_ (.A(_07896_),
-    .X(_07900_),
+ sky130_fd_sc_hd__clkbuf_1 _11474_ (.A(_07304_),
+    .X(_07308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12317_ (.A(_07898_),
-    .X(_07901_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11475_ (.A(_07306_),
+    .X(_07309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12318_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
-    .A2(_07900_),
-    .B1(_07891_),
-    .B2(_07901_),
+ sky130_fd_sc_hd__a22o_1 _11476_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
+    .A2(_07308_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07309_),
     .X(_01593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12319_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
-    .A2(_07900_),
-    .B1(_07893_),
-    .B2(_07901_),
+ sky130_fd_sc_hd__a22o_1 _11477_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
+    .A2(_07308_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07309_),
     .X(_01592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12320_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
-    .A2(_07900_),
-    .B1(_07894_),
-    .B2(_07901_),
+ sky130_fd_sc_hd__a22o_1 _11478_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
+    .A2(_07308_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07309_),
     .X(_01591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12321_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
-    .A2(_07900_),
-    .B1(_07895_),
-    .B2(_07901_),
+ sky130_fd_sc_hd__a22o_1 _11479_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
+    .A2(_07308_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07309_),
     .X(_01590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12322_ (.A(_07481_),
-    .B(_07875_),
-    .X(_07902_),
+ sky130_fd_sc_hd__or2_2 _11480_ (.A(_07001_),
+    .B(_07291_),
+    .X(_07310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12323_ (.A(_07902_),
-    .X(_07903_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11481_ (.A(_07310_),
+    .X(_07311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12324_ (.A(_07902_),
-    .Y(_07904_),
+ sky130_fd_sc_hd__inv_2 _11482_ (.A(_07310_),
+    .Y(_07312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12325_ (.A(_07904_),
-    .X(_07905_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11483_ (.A(_07312_),
+    .X(_07313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12326_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
-    .A2(_07903_),
-    .B1(_07884_),
-    .B2(_07905_),
+ sky130_fd_sc_hd__a22o_1 _11484_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
+    .A2(_07311_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07313_),
     .X(_01589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12327_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
-    .A2(_07903_),
-    .B1(_07887_),
-    .B2(_07905_),
+ sky130_fd_sc_hd__a22o_1 _11485_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
+    .A2(_07311_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07313_),
     .X(_01588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12328_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
-    .A2(_07903_),
-    .B1(_07888_),
-    .B2(_07905_),
+ sky130_fd_sc_hd__a22o_1 _11486_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
+    .A2(_07311_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07313_),
     .X(_01587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12329_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
-    .A2(_07903_),
-    .B1(_07889_),
-    .B2(_07905_),
+ sky130_fd_sc_hd__a22o_1 _11487_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
+    .A2(_07311_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07313_),
     .X(_01586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12330_ (.A(_07902_),
-    .X(_07906_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11488_ (.A(_07310_),
+    .X(_07314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12331_ (.A(_07904_),
-    .X(_07907_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11489_ (.A(_07312_),
+    .X(_07315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12332_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
-    .A2(_07906_),
-    .B1(_07891_),
-    .B2(_07907_),
+ sky130_fd_sc_hd__a22o_1 _11490_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
+    .A2(_07314_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07315_),
     .X(_01585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12333_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
-    .A2(_07906_),
-    .B1(_07893_),
-    .B2(_07907_),
+ sky130_fd_sc_hd__a22o_1 _11491_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
+    .A2(_07314_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07315_),
     .X(_01584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12334_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
-    .A2(_07906_),
-    .B1(_07894_),
-    .B2(_07907_),
+ sky130_fd_sc_hd__a22o_1 _11492_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
+    .A2(_07314_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07315_),
     .X(_01583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12335_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
-    .A2(_07906_),
-    .B1(_07895_),
-    .B2(_07907_),
+ sky130_fd_sc_hd__a22o_1 _11493_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
+    .A2(_07314_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07315_),
     .X(_01582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12336_ (.A(_07488_),
-    .B(_07781_),
-    .X(_07908_),
+ sky130_fd_sc_hd__or2_2 _11494_ (.A(_07008_),
+    .B(_07221_),
+    .X(_07316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12337_ (.A(_07908_),
-    .X(_07909_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11495_ (.A(_07316_),
+    .X(_07317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12338_ (.A(_07908_),
-    .Y(_07910_),
+ sky130_fd_sc_hd__inv_2 _11496_ (.A(_07316_),
+    .Y(_07318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12339_ (.A(_07910_),
-    .X(_07911_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11497_ (.A(_07318_),
+    .X(_07319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12340_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
-    .A2(_07909_),
-    .B1(_07884_),
-    .B2(_07911_),
+ sky130_fd_sc_hd__a22o_1 _11498_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
+    .A2(_07317_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .B2(_07319_),
     .X(_01581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12341_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
-    .A2(_07909_),
-    .B1(_07887_),
-    .B2(_07911_),
+ sky130_fd_sc_hd__a22o_1 _11499_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
+    .A2(_07317_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .B2(_07319_),
     .X(_01580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12342_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
-    .A2(_07909_),
-    .B1(_07888_),
-    .B2(_07911_),
+ sky130_fd_sc_hd__a22o_1 _11500_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
+    .A2(_07317_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .B2(_07319_),
     .X(_01579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12343_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
-    .A2(_07909_),
-    .B1(_07889_),
-    .B2(_07911_),
+ sky130_fd_sc_hd__a22o_1 _11501_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
+    .A2(_07317_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .B2(_07319_),
     .X(_01578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12344_ (.A(_07908_),
-    .X(_07912_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11502_ (.A(_07316_),
+    .X(_07320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12345_ (.A(_07910_),
-    .X(_07913_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11503_ (.A(_07318_),
+    .X(_07321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12346_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
-    .A2(_07912_),
-    .B1(_07891_),
-    .B2(_07913_),
+ sky130_fd_sc_hd__a22o_1 _11504_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
+    .A2(_07320_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .B2(_07321_),
     .X(_01577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12347_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
-    .A2(_07912_),
-    .B1(_07893_),
-    .B2(_07913_),
+ sky130_fd_sc_hd__a22o_1 _11505_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
+    .A2(_07320_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .B2(_07321_),
     .X(_01576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12348_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
-    .A2(_07912_),
-    .B1(_07894_),
-    .B2(_07913_),
+ sky130_fd_sc_hd__a22o_1 _11506_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
+    .A2(_07320_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .B2(_07321_),
     .X(_01575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12349_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
-    .A2(_07912_),
-    .B1(_07895_),
-    .B2(_07913_),
+ sky130_fd_sc_hd__a22o_1 _11507_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
+    .A2(_07320_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .B2(_07321_),
     .X(_01574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _12350_ (.A(_07321_),
-    .B(_06732_),
-    .C(_06722_),
-    .X(_07914_),
+ sky130_fd_sc_hd__or3_1 _11508_ (.A(_06891_),
+    .B(_06382_),
+    .C(_06372_),
+    .X(_07322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12351_ (.A(_06742_),
-    .B(_07914_),
-    .X(_07915_),
+ sky130_fd_sc_hd__or2_2 _11509_ (.A(_06392_),
+    .B(_07322_),
+    .X(_07323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12352_ (.A(_07915_),
-    .X(_07916_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11510_ (.A(_07323_),
+    .X(_07324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12353_ (.A(_07915_),
-    .Y(_07917_),
+ sky130_fd_sc_hd__inv_2 _11511_ (.A(_07323_),
+    .Y(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12354_ (.A(_07917_),
-    .X(_07918_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11512_ (.A(_07325_),
+    .X(_07326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12355_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
-    .A2(_07916_),
-    .B1(_05934_),
-    .B2(_07918_),
+ sky130_fd_sc_hd__a22o_1 _11513_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
+    .A2(_07324_),
+    .B1(net87),
+    .B2(_07326_),
     .X(_01573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12356_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
-    .A2(_07916_),
-    .B1(_05937_),
-    .B2(_07918_),
+ sky130_fd_sc_hd__a22o_1 _11514_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
+    .A2(_07324_),
+    .B1(net88),
+    .B2(_07326_),
     .X(_01572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12357_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
-    .A2(_07916_),
-    .B1(_05939_),
-    .B2(_07918_),
+ sky130_fd_sc_hd__a22o_1 _11515_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
+    .A2(_07324_),
+    .B1(net89),
+    .B2(_07326_),
     .X(_01571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12358_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
-    .A2(_07916_),
-    .B1(_05942_),
-    .B2(_07918_),
+ sky130_fd_sc_hd__a22o_1 _11516_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
+    .A2(_07324_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07326_),
     .X(_01570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12359_ (.A(_07915_),
-    .X(_07919_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11517_ (.A(_07323_),
+    .X(_07327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12360_ (.A(_07917_),
-    .X(_07920_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11518_ (.A(_07325_),
+    .X(_07328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12361_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
-    .A2(_07919_),
-    .B1(_05944_),
-    .B2(_07920_),
+ sky130_fd_sc_hd__a22o_1 _11519_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
+    .A2(_07327_),
+    .B1(net90),
+    .B2(_07328_),
     .X(_01569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12362_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
-    .A2(_07919_),
-    .B1(_05947_),
-    .B2(_07920_),
+ sky130_fd_sc_hd__a22o_1 _11520_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
+    .A2(_07327_),
+    .B1(net91),
+    .B2(_07328_),
     .X(_01568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12363_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
-    .A2(_07919_),
-    .B1(_05949_),
-    .B2(_07920_),
+ sky130_fd_sc_hd__a22o_1 _11521_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
+    .A2(_07327_),
+    .B1(net92),
+    .B2(_07328_),
     .X(_01567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12364_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
-    .A2(_07919_),
-    .B1(_05951_),
-    .B2(_07920_),
+ sky130_fd_sc_hd__a22o_1 _11522_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
+    .A2(_07327_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07328_),
     .X(_01566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12365_ (.A(_06735_),
-    .B(_07914_),
-    .X(_07921_),
+ sky130_fd_sc_hd__or2_1 _11523_ (.A(_06385_),
+    .B(_07322_),
+    .X(_07329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12366_ (.A(_07921_),
-    .X(_07922_),
+ sky130_fd_sc_hd__clkbuf_1 _11524_ (.A(_07329_),
+    .X(_07330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12367_ (.A(_07922_),
-    .X(_07923_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11525_ (.A(_07330_),
+    .X(_07331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12368_ (.A(_06739_),
-    .X(_07924_),
+ sky130_fd_sc_hd__clkbuf_1 _11526_ (.A(_06389_),
+    .X(_07332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12369_ (.A(_06757_),
-    .B(_07924_),
-    .C(_06764_),
-    .D(_06767_),
-    .X(_07925_),
+ sky130_fd_sc_hd__or4_2 _11527_ (.A(_06405_),
+    .B(_07332_),
+    .C(_06410_),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .X(_07333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12370_ (.A(_07923_),
-    .B(_07925_),
-    .X(_07926_),
+ sky130_fd_sc_hd__or2_2 _11528_ (.A(_07331_),
+    .B(_07333_),
+    .X(_07334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12371_ (.A(_07926_),
-    .X(_07927_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11529_ (.A(_07334_),
+    .X(_07335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12372_ (.A(_07926_),
-    .Y(_07928_),
+ sky130_fd_sc_hd__inv_2 _11530_ (.A(_07334_),
+    .Y(_07336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12373_ (.A(_07928_),
-    .X(_07929_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11531_ (.A(_07336_),
+    .X(_07337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12374_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
-    .A2(_07927_),
-    .B1(_05934_),
-    .B2(_07929_),
+ sky130_fd_sc_hd__a22o_1 _11532_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
+    .A2(_07335_),
+    .B1(net87),
+    .B2(_07337_),
     .X(_01565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12375_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
-    .A2(_07927_),
-    .B1(_05937_),
-    .B2(_07929_),
+ sky130_fd_sc_hd__a22o_1 _11533_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
+    .A2(_07335_),
+    .B1(net88),
+    .B2(_07337_),
     .X(_01564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12376_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
-    .A2(_07927_),
-    .B1(_05939_),
-    .B2(_07929_),
+ sky130_fd_sc_hd__a22o_1 _11534_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
+    .A2(_07335_),
+    .B1(net89),
+    .B2(_07337_),
     .X(_01563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12377_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
-    .A2(_07927_),
-    .B1(_05942_),
-    .B2(_07929_),
+ sky130_fd_sc_hd__a22o_1 _11535_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
+    .A2(_07335_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07337_),
     .X(_01562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12378_ (.A(_07926_),
-    .X(_07930_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11536_ (.A(_07334_),
+    .X(_07338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12379_ (.A(_07928_),
-    .X(_07931_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11537_ (.A(_07336_),
+    .X(_07339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12380_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
-    .A2(_07930_),
-    .B1(_05944_),
-    .B2(_07931_),
+ sky130_fd_sc_hd__a22o_1 _11538_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
+    .A2(_07338_),
+    .B1(net90),
+    .B2(_07339_),
     .X(_01561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12381_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
-    .A2(_07930_),
-    .B1(_05947_),
-    .B2(_07931_),
+ sky130_fd_sc_hd__a22o_1 _11539_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
+    .A2(_07338_),
+    .B1(net91),
+    .B2(_07339_),
     .X(_01560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12382_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
-    .A2(_07930_),
-    .B1(_05949_),
-    .B2(_07931_),
+ sky130_fd_sc_hd__a22o_1 _11540_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
+    .A2(_07338_),
+    .B1(net92),
+    .B2(_07339_),
     .X(_01559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12383_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
-    .A2(_07930_),
-    .B1(_05951_),
-    .B2(_07931_),
+ sky130_fd_sc_hd__a22o_1 _11541_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
+    .A2(_07338_),
+    .B1(net93),
+    .B2(_07339_),
     .X(_01558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12384_ (.A(_06757_),
-    .B(_07924_),
-    .C(_06762_),
-    .D(_06765_),
-    .X(_07932_),
+ sky130_fd_sc_hd__or4_2 _11542_ (.A(_06405_),
+    .B(_07332_),
+    .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .D(_06411_),
+    .X(_07340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12385_ (.A(_07923_),
-    .B(_07932_),
-    .X(_07933_),
+ sky130_fd_sc_hd__or2_4 _11543_ (.A(_07331_),
+    .B(_07340_),
+    .X(_07341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12386_ (.A(_07933_),
-    .X(_07934_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11544_ (.A(_07341_),
+    .X(_07342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12387_ (.A(_07933_),
-    .Y(_07935_),
+ sky130_fd_sc_hd__inv_2 _11545_ (.A(_07341_),
+    .Y(_07343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12388_ (.A(_07935_),
-    .X(_07936_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11546_ (.A(_07343_),
+    .X(_07344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12389_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
-    .A2(_07934_),
-    .B1(_05934_),
-    .B2(_07936_),
+ sky130_fd_sc_hd__a22o_1 _11547_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
+    .A2(_07342_),
+    .B1(net87),
+    .B2(_07344_),
     .X(_01557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12390_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
-    .A2(_07934_),
-    .B1(_05937_),
-    .B2(_07936_),
+ sky130_fd_sc_hd__a22o_1 _11548_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
+    .A2(_07342_),
+    .B1(net88),
+    .B2(_07344_),
     .X(_01556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12391_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
-    .A2(_07934_),
-    .B1(_05939_),
-    .B2(_07936_),
+ sky130_fd_sc_hd__a22o_1 _11549_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
+    .A2(_07342_),
+    .B1(net89),
+    .B2(_07344_),
     .X(_01555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12392_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
-    .A2(_07934_),
-    .B1(_05942_),
-    .B2(_07936_),
+ sky130_fd_sc_hd__a22o_1 _11550_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
+    .A2(_07342_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07344_),
     .X(_01554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12393_ (.A(_07933_),
-    .X(_07937_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11551_ (.A(_07341_),
+    .X(_07345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12394_ (.A(_07935_),
-    .X(_07938_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11552_ (.A(_07343_),
+    .X(_07346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12395_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
-    .A2(_07937_),
-    .B1(_05944_),
-    .B2(_07938_),
+ sky130_fd_sc_hd__a22o_1 _11553_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
+    .A2(_07345_),
+    .B1(net90),
+    .B2(_07346_),
     .X(_01553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12396_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
-    .A2(_07937_),
-    .B1(_05947_),
-    .B2(_07938_),
+ sky130_fd_sc_hd__a22o_1 _11554_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
+    .A2(_07345_),
+    .B1(net91),
+    .B2(_07346_),
     .X(_01552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12397_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
-    .A2(_07937_),
-    .B1(_05949_),
-    .B2(_07938_),
+ sky130_fd_sc_hd__a22o_1 _11555_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
+    .A2(_07345_),
+    .B1(net92),
+    .B2(_07346_),
     .X(_01551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12398_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
-    .A2(_07937_),
-    .B1(_05951_),
-    .B2(_07938_),
+ sky130_fd_sc_hd__a22o_1 _11556_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
+    .A2(_07345_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07346_),
     .X(_01550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12399_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
-    .X(_07939_),
+ sky130_fd_sc_hd__or4_2 _11557_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .B(_07332_),
+    .C(_06410_),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .X(_07347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12400_ (.A(_07939_),
-    .B(_07924_),
-    .C(_06764_),
-    .D(_06767_),
-    .X(_07940_),
+ sky130_fd_sc_hd__or2_2 _11558_ (.A(_07331_),
+    .B(_07347_),
+    .X(_07348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12401_ (.A(_07923_),
-    .B(_07940_),
-    .X(_07941_),
+ sky130_fd_sc_hd__clkbuf_1 _11559_ (.A(_07348_),
+    .X(_07349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12402_ (.A(_07941_),
-    .X(_07942_),
+ sky130_fd_sc_hd__inv_2 _11560_ (.A(_07348_),
+    .Y(_07350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12403_ (.A(_05933_),
-    .X(_07943_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11561_ (.A(_07350_),
+    .X(_07351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12404_ (.A(_07941_),
-    .Y(_07944_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12405_ (.A(_07944_),
-    .X(_07945_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12406_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
-    .A2(_07942_),
-    .B1(_07943_),
-    .B2(_07945_),
+ sky130_fd_sc_hd__a22o_1 _11562_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
+    .A2(_07349_),
+    .B1(net87),
+    .B2(_07351_),
     .X(_01549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _12407_ (.A(_05936_),
-    .X(_07946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12408_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
-    .A2(_07942_),
-    .B1(_07946_),
-    .B2(_07945_),
+ sky130_fd_sc_hd__a22o_1 _11563_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
+    .A2(_07349_),
+    .B1(net88),
+    .B2(_07351_),
     .X(_01548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12409_ (.A(_05938_),
-    .X(_07947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12410_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
-    .A2(_07942_),
-    .B1(_07947_),
-    .B2(_07945_),
+ sky130_fd_sc_hd__a22o_1 _11564_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
+    .A2(_07349_),
+    .B1(net89),
+    .B2(_07351_),
     .X(_01547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12411_ (.A(_05941_),
-    .X(_07948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12412_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
-    .A2(_07942_),
-    .B1(_07948_),
-    .B2(_07945_),
+ sky130_fd_sc_hd__a22o_1 _11565_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
+    .A2(_07349_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07351_),
     .X(_01546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12413_ (.A(_07941_),
-    .X(_07949_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11566_ (.A(_07348_),
+    .X(_07352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12414_ (.A(_05943_),
-    .X(_07950_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11567_ (.A(_07350_),
+    .X(_07353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12415_ (.A(_07944_),
-    .X(_07951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12416_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
-    .A2(_07949_),
-    .B1(_07950_),
-    .B2(_07951_),
+ sky130_fd_sc_hd__a22o_1 _11568_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
+    .A2(_07352_),
+    .B1(net90),
+    .B2(_07353_),
     .X(_01545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12417_ (.A(_05946_),
-    .X(_07952_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12418_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
-    .A2(_07949_),
-    .B1(_07952_),
-    .B2(_07951_),
+ sky130_fd_sc_hd__a22o_1 _11569_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
+    .A2(_07352_),
+    .B1(net91),
+    .B2(_07353_),
     .X(_01544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12419_ (.A(_05948_),
-    .X(_07953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12420_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
-    .A2(_07949_),
-    .B1(_07953_),
-    .B2(_07951_),
+ sky130_fd_sc_hd__a22o_1 _11570_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
+    .A2(_07352_),
+    .B1(net92),
+    .B2(_07353_),
     .X(_01543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12421_ (.A(_05950_),
-    .X(_07954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12422_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
-    .A2(_07949_),
-    .B1(_07954_),
-    .B2(_07951_),
+ sky130_fd_sc_hd__a22o_1 _11571_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
+    .A2(_07352_),
+    .B1(net93),
+    .B2(_07353_),
     .X(_01542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12423_ (.A(_06759_),
-    .Y(_07955_),
+ sky130_fd_sc_hd__inv_2 _11572_ (.A(_06406_),
+    .Y(_07354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12424_ (.A(_07955_),
-    .B(_07922_),
-    .X(_07956_),
+ sky130_fd_sc_hd__or2_2 _11573_ (.A(_07354_),
+    .B(_07330_),
+    .X(_07355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12425_ (.A(_07956_),
-    .X(_07957_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11574_ (.A(_07355_),
+    .X(_07356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12426_ (.A(_07956_),
-    .Y(_07958_),
+ sky130_fd_sc_hd__inv_2 _11575_ (.A(_07355_),
+    .Y(_07357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12427_ (.A(_07958_),
-    .X(_07959_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11576_ (.A(_07357_),
+    .X(_07358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12428_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
-    .A2(_07957_),
-    .B1(_07943_),
-    .B2(_07959_),
+ sky130_fd_sc_hd__a22o_1 _11577_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
+    .A2(_07356_),
+    .B1(net87),
+    .B2(_07358_),
     .X(_01541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12429_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
-    .A2(_07957_),
-    .B1(_07946_),
-    .B2(_07959_),
+ sky130_fd_sc_hd__a22o_1 _11578_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
+    .A2(_07356_),
+    .B1(net88),
+    .B2(_07358_),
     .X(_01540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12430_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
-    .A2(_07957_),
-    .B1(_07947_),
-    .B2(_07959_),
+ sky130_fd_sc_hd__a22o_1 _11579_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
+    .A2(_07356_),
+    .B1(net89),
+    .B2(_07358_),
     .X(_01539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12431_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
-    .A2(_07957_),
-    .B1(_07948_),
-    .B2(_07959_),
+ sky130_fd_sc_hd__a22o_1 _11580_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
+    .A2(_07356_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07358_),
     .X(_01538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12432_ (.A(_07956_),
-    .X(_07960_),
+ sky130_fd_sc_hd__clkbuf_1 _11581_ (.A(_07355_),
+    .X(_07359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12433_ (.A(_07958_),
-    .X(_07961_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11582_ (.A(_07357_),
+    .X(_07360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12434_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
-    .A2(_07960_),
-    .B1(_07950_),
-    .B2(_07961_),
+ sky130_fd_sc_hd__a22o_1 _11583_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
+    .A2(_07359_),
+    .B1(net90),
+    .B2(_07360_),
     .X(_01537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12435_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
-    .A2(_07960_),
-    .B1(_07952_),
-    .B2(_07961_),
+ sky130_fd_sc_hd__a22o_1 _11584_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
+    .A2(_07359_),
+    .B1(net91),
+    .B2(_07360_),
     .X(_01536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12436_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
-    .A2(_07960_),
-    .B1(_07953_),
-    .B2(_07961_),
+ sky130_fd_sc_hd__a22o_1 _11585_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
+    .A2(_07359_),
+    .B1(net92),
+    .B2(_07360_),
     .X(_01535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12437_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
-    .A2(_07960_),
-    .B1(_07954_),
-    .B2(_07961_),
+ sky130_fd_sc_hd__a22o_1 _11586_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
+    .A2(_07359_),
+    .B1(net93),
+    .B2(_07360_),
     .X(_01534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12438_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
-    .X(_07962_),
+ sky130_fd_sc_hd__clkbuf_1 _11587_ (.A(_06388_),
+    .X(_07361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12439_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
-    .X(_07963_),
+ sky130_fd_sc_hd__or4_2 _11588_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .B(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .C(_07361_),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_07362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12440_ (.A(_06738_),
-    .X(_07964_),
+ sky130_fd_sc_hd__or2_2 _11589_ (.A(_07331_),
+    .B(_07362_),
+    .X(_07363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12441_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
-    .X(_07965_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11590_ (.A(_07363_),
+    .X(_07364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12442_ (.A(_07962_),
-    .B(_07963_),
-    .C(_07964_),
-    .D(_07965_),
-    .X(_07966_),
+ sky130_fd_sc_hd__inv_2 _11591_ (.A(_07363_),
+    .Y(_07365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12443_ (.A(_07923_),
-    .B(_07966_),
-    .X(_07967_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11592_ (.A(_07365_),
+    .X(_07366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12444_ (.A(_07967_),
-    .X(_07968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12445_ (.A(_07967_),
-    .Y(_07969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12446_ (.A(_07969_),
-    .X(_07970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12447_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
-    .A2(_07968_),
-    .B1(_07943_),
-    .B2(_07970_),
+ sky130_fd_sc_hd__a22o_1 _11593_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
+    .A2(_07364_),
+    .B1(net87),
+    .B2(_07366_),
     .X(_01533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12448_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
-    .A2(_07968_),
-    .B1(_07946_),
-    .B2(_07970_),
+ sky130_fd_sc_hd__a22o_1 _11594_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
+    .A2(_07364_),
+    .B1(net88),
+    .B2(_07366_),
     .X(_01532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12449_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
-    .A2(_07968_),
-    .B1(_07947_),
-    .B2(_07970_),
+ sky130_fd_sc_hd__a22o_1 _11595_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
+    .A2(_07364_),
+    .B1(net89),
+    .B2(_07366_),
     .X(_01531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12450_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
-    .A2(_07968_),
-    .B1(_07948_),
-    .B2(_07970_),
+ sky130_fd_sc_hd__a22o_1 _11596_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
+    .A2(_07364_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07366_),
     .X(_01530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12451_ (.A(_07967_),
-    .X(_07971_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11597_ (.A(_07363_),
+    .X(_07367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12452_ (.A(_07969_),
-    .X(_07972_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11598_ (.A(_07365_),
+    .X(_07368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12453_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
-    .A2(_07971_),
-    .B1(_07950_),
-    .B2(_07972_),
+ sky130_fd_sc_hd__a22o_1 _11599_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
+    .A2(_07367_),
+    .B1(net90),
+    .B2(_07368_),
     .X(_01529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12454_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
-    .A2(_07971_),
-    .B1(_07952_),
-    .B2(_07972_),
+ sky130_fd_sc_hd__a22o_1 _11600_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
+    .A2(_07367_),
+    .B1(net91),
+    .B2(_07368_),
     .X(_01528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12455_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
-    .A2(_07971_),
-    .B1(_07953_),
-    .B2(_07972_),
+ sky130_fd_sc_hd__a22o_1 _11601_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
+    .A2(_07367_),
+    .B1(net92),
+    .B2(_07368_),
     .X(_01527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12456_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
-    .A2(_07971_),
-    .B1(_07954_),
-    .B2(_07972_),
+ sky130_fd_sc_hd__a22o_1 _11602_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
+    .A2(_07367_),
+    .B1(net93),
+    .B2(_07368_),
     .X(_01526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12457_ (.A(_07921_),
-    .X(_07973_),
+ sky130_fd_sc_hd__clkbuf_1 _11603_ (.A(_07329_),
+    .X(_07369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12458_ (.A(_06737_),
-    .X(_07974_),
+ sky130_fd_sc_hd__clkbuf_1 _11604_ (.A(_06387_),
+    .X(_07370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12459_ (.A(_07962_),
-    .B(_07974_),
-    .C(_07964_),
-    .D(_07965_),
-    .X(_07975_),
+ sky130_fd_sc_hd__or4_2 _11605_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .B(_07370_),
+    .C(_07361_),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_07371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12460_ (.A(_07973_),
-    .B(_07975_),
-    .X(_07976_),
+ sky130_fd_sc_hd__or2_2 _11606_ (.A(_07369_),
+    .B(_07371_),
+    .X(_07372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12461_ (.A(_07976_),
-    .X(_07977_),
+ sky130_fd_sc_hd__clkbuf_1 _11607_ (.A(_07372_),
+    .X(_07373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12462_ (.A(_07976_),
-    .Y(_07978_),
+ sky130_fd_sc_hd__inv_2 _11608_ (.A(_07372_),
+    .Y(_07374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12463_ (.A(_07978_),
-    .X(_07979_),
+ sky130_fd_sc_hd__clkbuf_1 _11609_ (.A(_07374_),
+    .X(_07375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12464_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
-    .A2(_07977_),
-    .B1(_07943_),
-    .B2(_07979_),
+ sky130_fd_sc_hd__a22o_1 _11610_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
+    .A2(_07373_),
+    .B1(net87),
+    .B2(_07375_),
     .X(_01525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12465_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
-    .A2(_07977_),
-    .B1(_07946_),
-    .B2(_07979_),
+ sky130_fd_sc_hd__a22o_1 _11611_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
+    .A2(_07373_),
+    .B1(net88),
+    .B2(_07375_),
     .X(_01524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12466_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
-    .A2(_07977_),
-    .B1(_07947_),
-    .B2(_07979_),
+ sky130_fd_sc_hd__a22o_1 _11612_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
+    .A2(_07373_),
+    .B1(net89),
+    .B2(_07375_),
     .X(_01523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12467_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
-    .A2(_07977_),
-    .B1(_07948_),
-    .B2(_07979_),
+ sky130_fd_sc_hd__a22o_1 _11613_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
+    .A2(_07373_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07375_),
     .X(_01522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12468_ (.A(_07976_),
-    .X(_07980_),
+ sky130_fd_sc_hd__clkbuf_1 _11614_ (.A(_07372_),
+    .X(_07376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12469_ (.A(_07978_),
-    .X(_07981_),
+ sky130_fd_sc_hd__clkbuf_1 _11615_ (.A(_07374_),
+    .X(_07377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12470_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
-    .A2(_07980_),
-    .B1(_07950_),
-    .B2(_07981_),
+ sky130_fd_sc_hd__a22o_1 _11616_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
+    .A2(_07376_),
+    .B1(net90),
+    .B2(_07377_),
     .X(_01521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12471_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
-    .A2(_07980_),
-    .B1(_07952_),
-    .B2(_07981_),
+ sky130_fd_sc_hd__a22o_1 _11617_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
+    .A2(_07376_),
+    .B1(net91),
+    .B2(_07377_),
     .X(_01520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12472_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
-    .A2(_07980_),
-    .B1(_07953_),
-    .B2(_07981_),
+ sky130_fd_sc_hd__a22o_1 _11618_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
+    .A2(_07376_),
+    .B1(net92),
+    .B2(_07377_),
     .X(_01519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12473_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
-    .A2(_07980_),
-    .B1(_07954_),
-    .B2(_07981_),
+ sky130_fd_sc_hd__a22o_1 _11619_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
+    .A2(_07376_),
+    .B1(net93),
+    .B2(_07377_),
     .X(_01518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12474_ (.A(_06763_),
-    .B(_07963_),
-    .C(_07964_),
-    .D(_07965_),
-    .X(_07982_),
+ sky130_fd_sc_hd__or4_2 _11620_ (.A(_06409_),
+    .B(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .C(_07361_),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_07378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12475_ (.A(_07973_),
-    .B(_07982_),
-    .X(_07983_),
+ sky130_fd_sc_hd__or2_2 _11621_ (.A(_07369_),
+    .B(_07378_),
+    .X(_07379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12476_ (.A(_07983_),
-    .X(_07984_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11622_ (.A(_07379_),
+    .X(_07380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12477_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
-    .X(_07985_),
+ sky130_fd_sc_hd__inv_2 _11623_ (.A(_07379_),
+    .Y(_07381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12478_ (.A(_07985_),
-    .X(_07986_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11624_ (.A(_07381_),
+    .X(_07382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12479_ (.A(_07986_),
-    .X(_07987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12480_ (.A(_07983_),
-    .Y(_07988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12481_ (.A(_07988_),
-    .X(_07989_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12482_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
-    .A2(_07984_),
-    .B1(_07987_),
-    .B2(_07989_),
+ sky130_fd_sc_hd__a22o_1 _11625_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
+    .A2(_07380_),
+    .B1(net87),
+    .B2(_07382_),
     .X(_01517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12483_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
-    .X(_07990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12484_ (.A(_07990_),
-    .X(_07991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12485_ (.A(_07991_),
-    .X(_07992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12486_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
-    .A2(_07984_),
-    .B1(_07992_),
-    .B2(_07989_),
+ sky130_fd_sc_hd__a22o_1 _11626_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
+    .A2(_07380_),
+    .B1(net88),
+    .B2(_07382_),
     .X(_01516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12487_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
-    .X(_07993_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12488_ (.A(_07993_),
-    .X(_07994_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12489_ (.A(_07994_),
-    .X(_07995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12490_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
-    .A2(_07984_),
-    .B1(_07995_),
-    .B2(_07989_),
+ sky130_fd_sc_hd__a22o_1 _11627_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
+    .A2(_07380_),
+    .B1(net89),
+    .B2(_07382_),
     .X(_01515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12491_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
-    .X(_07996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12492_ (.A(_07996_),
-    .X(_07997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12493_ (.A(_07997_),
-    .X(_07998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12494_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
-    .A2(_07984_),
-    .B1(_07998_),
-    .B2(_07989_),
+ sky130_fd_sc_hd__a22o_1 _11628_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
+    .A2(_07380_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07382_),
     .X(_01514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12495_ (.A(_07983_),
-    .X(_07999_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11629_ (.A(_07379_),
+    .X(_07383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12496_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
-    .X(_08000_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11630_ (.A(_07381_),
+    .X(_07384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12497_ (.A(_08000_),
-    .X(_08001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12498_ (.A(_08001_),
-    .X(_08002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12499_ (.A(_07988_),
-    .X(_08003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12500_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
-    .A2(_07999_),
-    .B1(_08002_),
-    .B2(_08003_),
+ sky130_fd_sc_hd__a22o_1 _11631_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
+    .A2(_07383_),
+    .B1(net90),
+    .B2(_07384_),
     .X(_01513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12501_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
-    .X(_08004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12502_ (.A(_08004_),
-    .X(_08005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12503_ (.A(_08005_),
-    .X(_08006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12504_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
-    .A2(_07999_),
-    .B1(_08006_),
-    .B2(_08003_),
+ sky130_fd_sc_hd__a22o_1 _11632_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
+    .A2(_07383_),
+    .B1(net91),
+    .B2(_07384_),
     .X(_01512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12505_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
-    .X(_08007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12506_ (.A(_08007_),
-    .X(_08008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12507_ (.A(_08008_),
-    .X(_08009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12508_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
-    .A2(_07999_),
-    .B1(_08009_),
-    .B2(_08003_),
+ sky130_fd_sc_hd__a22o_1 _11633_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
+    .A2(_07383_),
+    .B1(net92),
+    .B2(_07384_),
     .X(_01511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12509_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
-    .X(_08010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12510_ (.A(_08010_),
-    .X(_08011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12511_ (.A(_08011_),
-    .X(_08012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12512_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
-    .A2(_07999_),
-    .B1(_08012_),
-    .B2(_08003_),
+ sky130_fd_sc_hd__a22o_1 _11634_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
+    .A2(_07383_),
+    .B1(net93),
+    .B2(_07384_),
     .X(_01510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12513_ (.A(_06763_),
-    .B(_07974_),
-    .C(_07964_),
-    .D(_06758_),
-    .X(_08013_),
+ sky130_fd_sc_hd__or4_2 _11635_ (.A(_06409_),
+    .B(_07370_),
+    .C(_07361_),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_07385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12514_ (.A(_07973_),
-    .B(_08013_),
-    .X(_08014_),
+ sky130_fd_sc_hd__or2_2 _11636_ (.A(_07369_),
+    .B(_07385_),
+    .X(_07386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12515_ (.A(_08014_),
-    .X(_08015_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11637_ (.A(_07386_),
+    .X(_07387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12516_ (.A(_08014_),
-    .Y(_08016_),
+ sky130_fd_sc_hd__inv_2 _11638_ (.A(_07386_),
+    .Y(_07388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12517_ (.A(_08016_),
-    .X(_08017_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11639_ (.A(_07388_),
+    .X(_07389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12518_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
-    .A2(_08015_),
-    .B1(_07987_),
-    .B2(_08017_),
+ sky130_fd_sc_hd__a22o_1 _11640_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
+    .A2(_07387_),
+    .B1(net87),
+    .B2(_07389_),
     .X(_01509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12519_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
-    .A2(_08015_),
-    .B1(_07992_),
-    .B2(_08017_),
+ sky130_fd_sc_hd__a22o_1 _11641_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
+    .A2(_07387_),
+    .B1(net88),
+    .B2(_07389_),
     .X(_01508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12520_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
-    .A2(_08015_),
-    .B1(_07995_),
-    .B2(_08017_),
+ sky130_fd_sc_hd__a22o_1 _11642_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
+    .A2(_07387_),
+    .B1(net89),
+    .B2(_07389_),
     .X(_01507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12521_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
-    .A2(_08015_),
-    .B1(_07998_),
-    .B2(_08017_),
+ sky130_fd_sc_hd__a22o_1 _11643_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
+    .A2(_07387_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07389_),
     .X(_01506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12522_ (.A(_08014_),
-    .X(_08018_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11644_ (.A(_07386_),
+    .X(_07390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12523_ (.A(_08016_),
-    .X(_08019_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11645_ (.A(_07388_),
+    .X(_07391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12524_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
-    .A2(_08018_),
-    .B1(_08002_),
-    .B2(_08019_),
+ sky130_fd_sc_hd__a22o_1 _11646_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
+    .A2(_07390_),
+    .B1(net90),
+    .B2(_07391_),
     .X(_01505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12525_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
-    .A2(_08018_),
-    .B1(_08006_),
-    .B2(_08019_),
+ sky130_fd_sc_hd__a22o_1 _11647_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
+    .A2(_07390_),
+    .B1(net91),
+    .B2(_07391_),
     .X(_01504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12526_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
-    .A2(_08018_),
-    .B1(_08009_),
-    .B2(_08019_),
+ sky130_fd_sc_hd__a22o_1 _11648_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
+    .A2(_07390_),
+    .B1(net92),
+    .B2(_07391_),
     .X(_01503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12527_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
-    .A2(_08018_),
-    .B1(_08012_),
-    .B2(_08019_),
+ sky130_fd_sc_hd__a22o_1 _11649_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
+    .A2(_07390_),
+    .B1(net93),
+    .B2(_07391_),
     .X(_01502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12528_ (.A(_06757_),
-    .B(_07924_),
-    .C(_06762_),
-    .D(_06767_),
-    .X(_08020_),
+ sky130_fd_sc_hd__or4_2 _11650_ (.A(_06405_),
+    .B(_07332_),
+    .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .X(_07392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12529_ (.A(_07973_),
-    .B(_08020_),
-    .X(_08021_),
+ sky130_fd_sc_hd__or2_2 _11651_ (.A(_07369_),
+    .B(_07392_),
+    .X(_07393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12530_ (.A(_08021_),
-    .X(_08022_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11652_ (.A(_07393_),
+    .X(_07394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12531_ (.A(_08021_),
-    .Y(_08023_),
+ sky130_fd_sc_hd__inv_2 _11653_ (.A(_07393_),
+    .Y(_07395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12532_ (.A(_08023_),
-    .X(_08024_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11654_ (.A(_07395_),
+    .X(_07396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12533_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
-    .A2(_08022_),
-    .B1(_07987_),
-    .B2(_08024_),
+ sky130_fd_sc_hd__a22o_1 _11655_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
+    .A2(_07394_),
+    .B1(net87),
+    .B2(_07396_),
     .X(_01501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12534_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
-    .A2(_08022_),
-    .B1(_07992_),
-    .B2(_08024_),
+ sky130_fd_sc_hd__a22o_1 _11656_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
+    .A2(_07394_),
+    .B1(net88),
+    .B2(_07396_),
     .X(_01500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12535_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
-    .A2(_08022_),
-    .B1(_07995_),
-    .B2(_08024_),
+ sky130_fd_sc_hd__a22o_1 _11657_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
+    .A2(_07394_),
+    .B1(net89),
+    .B2(_07396_),
     .X(_01499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12536_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
-    .A2(_08022_),
-    .B1(_07998_),
-    .B2(_08024_),
+ sky130_fd_sc_hd__a22o_1 _11658_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
+    .A2(_07394_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07396_),
     .X(_01498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12537_ (.A(_08021_),
-    .X(_08025_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11659_ (.A(_07393_),
+    .X(_07397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12538_ (.A(_08023_),
-    .X(_08026_),
+ sky130_fd_sc_hd__clkbuf_1 _11660_ (.A(_07395_),
+    .X(_07398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12539_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
-    .A2(_08025_),
-    .B1(_08002_),
-    .B2(_08026_),
+ sky130_fd_sc_hd__a22o_1 _11661_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
+    .A2(_07397_),
+    .B1(net90),
+    .B2(_07398_),
     .X(_01497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12540_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
-    .A2(_08025_),
-    .B1(_08006_),
-    .B2(_08026_),
+ sky130_fd_sc_hd__a22o_1 _11662_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
+    .A2(_07397_),
+    .B1(net91),
+    .B2(_07398_),
     .X(_01496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12541_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
-    .A2(_08025_),
-    .B1(_08009_),
-    .B2(_08026_),
+ sky130_fd_sc_hd__a22o_1 _11663_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
+    .A2(_07397_),
+    .B1(net92),
+    .B2(_07398_),
     .X(_01495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12542_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
-    .A2(_08025_),
-    .B1(_08012_),
-    .B2(_08026_),
+ sky130_fd_sc_hd__a22o_1 _11664_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
+    .A2(_07397_),
+    .B1(net93),
+    .B2(_07398_),
     .X(_01494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12543_ (.A(_07921_),
-    .X(_08027_),
+ sky130_fd_sc_hd__clkbuf_2 _11665_ (.A(_07329_),
+    .X(_07399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12544_ (.A(_06763_),
-    .B(_07974_),
-    .C(_07939_),
-    .D(_06758_),
-    .X(_08028_),
+ sky130_fd_sc_hd__or4_2 _11666_ (.A(_06409_),
+    .B(_07370_),
+    .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_07400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12545_ (.A(_08027_),
-    .B(_08028_),
-    .X(_08029_),
+ sky130_fd_sc_hd__or2_1 _11667_ (.A(_07399_),
+    .B(_07400_),
+    .X(_07401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12546_ (.A(_08029_),
-    .X(_08030_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11668_ (.A(_07401_),
+    .X(_07402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12547_ (.A(_08029_),
-    .Y(_08031_),
+ sky130_fd_sc_hd__inv_2 _11669_ (.A(_07401_),
+    .Y(_07403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12548_ (.A(_08031_),
-    .X(_08032_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11670_ (.A(_07403_),
+    .X(_07404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12549_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
-    .A2(_08030_),
-    .B1(_07987_),
-    .B2(_08032_),
+ sky130_fd_sc_hd__a22o_1 _11671_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
+    .A2(_07402_),
+    .B1(net87),
+    .B2(_07404_),
     .X(_01493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12550_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
-    .A2(_08030_),
-    .B1(_07992_),
-    .B2(_08032_),
+ sky130_fd_sc_hd__a22o_1 _11672_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
+    .A2(_07402_),
+    .B1(net88),
+    .B2(_07404_),
     .X(_01492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12551_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
-    .A2(_08030_),
-    .B1(_07995_),
-    .B2(_08032_),
+ sky130_fd_sc_hd__a22o_1 _11673_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
+    .A2(_07402_),
+    .B1(net89),
+    .B2(_07404_),
     .X(_01491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12552_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
-    .A2(_08030_),
-    .B1(_07998_),
-    .B2(_08032_),
+ sky130_fd_sc_hd__a22o_1 _11674_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
+    .A2(_07402_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07404_),
     .X(_01490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12553_ (.A(_08029_),
-    .X(_08033_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11675_ (.A(_07401_),
+    .X(_07405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12554_ (.A(_08031_),
-    .X(_08034_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11676_ (.A(_07403_),
+    .X(_07406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12555_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
-    .A2(_08033_),
-    .B1(_08002_),
-    .B2(_08034_),
+ sky130_fd_sc_hd__a22o_1 _11677_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
+    .A2(_07405_),
+    .B1(net90),
+    .B2(_07406_),
     .X(_01489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12556_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
-    .A2(_08033_),
-    .B1(_08006_),
-    .B2(_08034_),
+ sky130_fd_sc_hd__a22o_1 _11678_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
+    .A2(_07405_),
+    .B1(net91),
+    .B2(_07406_),
     .X(_01488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12557_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
-    .A2(_08033_),
-    .B1(_08009_),
-    .B2(_08034_),
+ sky130_fd_sc_hd__a22o_1 _11679_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
+    .A2(_07405_),
+    .B1(net92),
+    .B2(_07406_),
     .X(_01487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12558_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
-    .A2(_08033_),
-    .B1(_08012_),
-    .B2(_08034_),
+ sky130_fd_sc_hd__a22o_1 _11680_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
+    .A2(_07405_),
+    .B1(net93),
+    .B2(_07406_),
     .X(_01486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12559_ (.A(_07939_),
-    .B(_06739_),
-    .C(_06762_),
-    .D(_07963_),
-    .X(_08035_),
+ sky130_fd_sc_hd__or4_2 _11681_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .B(_06389_),
+    .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .X(_07407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12560_ (.A(_08027_),
-    .B(_08035_),
-    .X(_08036_),
+ sky130_fd_sc_hd__or2_2 _11682_ (.A(_07399_),
+    .B(_07407_),
+    .X(_07408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12561_ (.A(_08036_),
-    .X(_08037_),
+ sky130_fd_sc_hd__clkbuf_1 _11683_ (.A(_07408_),
+    .X(_07409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12562_ (.A(_07986_),
-    .X(_08038_),
+ sky130_fd_sc_hd__inv_2 _11684_ (.A(_07408_),
+    .Y(_07410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12563_ (.A(_08036_),
-    .Y(_08039_),
+ sky130_fd_sc_hd__clkbuf_1 _11685_ (.A(_07410_),
+    .X(_07411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12564_ (.A(_08039_),
-    .X(_08040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12565_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
-    .A2(_08037_),
-    .B1(_08038_),
-    .B2(_08040_),
+ sky130_fd_sc_hd__a22o_1 _11686_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
+    .A2(_07409_),
+    .B1(net87),
+    .B2(_07411_),
     .X(_01485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12566_ (.A(_07991_),
-    .X(_08041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12567_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
-    .A2(_08037_),
-    .B1(_08041_),
-    .B2(_08040_),
+ sky130_fd_sc_hd__a22o_1 _11687_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
+    .A2(_07409_),
+    .B1(net88),
+    .B2(_07411_),
     .X(_01484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12568_ (.A(_07994_),
-    .X(_08042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12569_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
-    .A2(_08037_),
-    .B1(_08042_),
-    .B2(_08040_),
+ sky130_fd_sc_hd__a22o_1 _11688_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
+    .A2(_07409_),
+    .B1(net89),
+    .B2(_07411_),
     .X(_01483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12570_ (.A(_07997_),
-    .X(_08043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12571_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
-    .A2(_08037_),
-    .B1(_08043_),
-    .B2(_08040_),
+ sky130_fd_sc_hd__a22o_1 _11689_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
+    .A2(_07409_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07411_),
     .X(_01482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12572_ (.A(_08036_),
-    .X(_08044_),
+ sky130_fd_sc_hd__clkbuf_1 _11690_ (.A(_07408_),
+    .X(_07412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _12573_ (.A(_08001_),
-    .X(_08045_),
+ sky130_fd_sc_hd__clkbuf_1 _11691_ (.A(_07410_),
+    .X(_07413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12574_ (.A(_08039_),
-    .X(_08046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12575_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
-    .A2(_08044_),
-    .B1(_08045_),
-    .B2(_08046_),
+ sky130_fd_sc_hd__a22o_1 _11692_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
+    .A2(_07412_),
+    .B1(net90),
+    .B2(_07413_),
     .X(_01481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12576_ (.A(_08005_),
-    .X(_08047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12577_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
-    .A2(_08044_),
-    .B1(_08047_),
-    .B2(_08046_),
+ sky130_fd_sc_hd__a22o_1 _11693_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
+    .A2(_07412_),
+    .B1(net91),
+    .B2(_07413_),
     .X(_01480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12578_ (.A(_08008_),
-    .X(_08048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12579_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
-    .A2(_08044_),
-    .B1(_08048_),
-    .B2(_08046_),
+ sky130_fd_sc_hd__a22o_1 _11694_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
+    .A2(_07412_),
+    .B1(net92),
+    .B2(_07413_),
     .X(_01479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12580_ (.A(_08011_),
-    .X(_08049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12581_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
-    .A2(_08044_),
-    .B1(_08049_),
-    .B2(_08046_),
+ sky130_fd_sc_hd__a22o_1 _11695_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
+    .A2(_07412_),
+    .B1(net93),
+    .B2(_07413_),
     .X(_01478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12582_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
-    .B(_06739_),
-    .C(_07962_),
-    .D(_06765_),
-    .X(_08050_),
+ sky130_fd_sc_hd__or4_2 _11696_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .B(_06389_),
+    .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .D(_06411_),
+    .X(_07414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12583_ (.A(_08027_),
-    .B(_08050_),
-    .X(_08051_),
+ sky130_fd_sc_hd__or2_2 _11697_ (.A(_07399_),
+    .B(_07414_),
+    .X(_07415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12584_ (.A(_08051_),
-    .X(_08052_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11698_ (.A(_07415_),
+    .X(_07416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12585_ (.A(_08051_),
-    .Y(_08053_),
+ sky130_fd_sc_hd__inv_2 _11699_ (.A(_07415_),
+    .Y(_07417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12586_ (.A(_08053_),
-    .X(_08054_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11700_ (.A(_07417_),
+    .X(_07418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12587_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
-    .A2(_08052_),
-    .B1(_08038_),
-    .B2(_08054_),
+ sky130_fd_sc_hd__a22o_1 _11701_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
+    .A2(_07416_),
+    .B1(net87),
+    .B2(_07418_),
     .X(_01477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12588_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
-    .A2(_08052_),
-    .B1(_08041_),
-    .B2(_08054_),
+ sky130_fd_sc_hd__a22o_1 _11702_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
+    .A2(_07416_),
+    .B1(net88),
+    .B2(_07418_),
     .X(_01476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12589_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
-    .A2(_08052_),
-    .B1(_08042_),
-    .B2(_08054_),
+ sky130_fd_sc_hd__a22o_1 _11703_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
+    .A2(_07416_),
+    .B1(net89),
+    .B2(_07418_),
     .X(_01475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12590_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
-    .A2(_08052_),
-    .B1(_08043_),
-    .B2(_08054_),
+ sky130_fd_sc_hd__a22o_1 _11704_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
+    .A2(_07416_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07418_),
     .X(_01474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12591_ (.A(_08051_),
-    .X(_08055_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11705_ (.A(_07415_),
+    .X(_07419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12592_ (.A(_08053_),
-    .X(_08056_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11706_ (.A(_07417_),
+    .X(_07420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12593_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
-    .A2(_08055_),
-    .B1(_08045_),
-    .B2(_08056_),
+ sky130_fd_sc_hd__a22o_1 _11707_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
+    .A2(_07419_),
+    .B1(net90),
+    .B2(_07420_),
     .X(_01473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12594_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
-    .A2(_08055_),
-    .B1(_08047_),
-    .B2(_08056_),
+ sky130_fd_sc_hd__a22o_1 _11708_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
+    .A2(_07419_),
+    .B1(net91),
+    .B2(_07420_),
     .X(_01472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12595_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
-    .A2(_08055_),
-    .B1(_08048_),
-    .B2(_08056_),
+ sky130_fd_sc_hd__a22o_1 _11709_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
+    .A2(_07419_),
+    .B1(net92),
+    .B2(_07420_),
     .X(_01471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12596_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
-    .A2(_08055_),
-    .B1(_08049_),
-    .B2(_08056_),
+ sky130_fd_sc_hd__a22o_1 _11710_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
+    .A2(_07419_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07420_),
     .X(_01470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _12597_ (.A(_07260_),
-    .B(_07136_),
-    .C(_07138_),
-    .X(_08057_),
+ sky130_fd_sc_hd__or3_1 _11711_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(_06757_),
+    .C(_06758_),
+    .X(_07421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12598_ (.A(_08057_),
-    .X(_08058_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11712_ (.A(_07421_),
+    .X(_07422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12599_ (.A(_08057_),
-    .Y(_08059_),
+ sky130_fd_sc_hd__inv_2 _11713_ (.A(_07421_),
+    .Y(_07423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12600_ (.A(_08059_),
-    .X(_08060_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11714_ (.A(_07423_),
+    .X(_07424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12601_ (.A1(\u_uart_core.u_txfifo.mem[6][7] ),
-    .A2(_08058_),
-    .B1(_07288_),
-    .B2(_08060_),
+ sky130_fd_sc_hd__a22o_1 _11715_ (.A1(\u_uart_core.u_txfifo.mem[6][7] ),
+    .A2(_07422_),
+    .B1(net39),
+    .B2(_07424_),
     .X(_01469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12602_ (.A1(\u_uart_core.u_txfifo.mem[6][6] ),
-    .A2(_08058_),
-    .B1(_07289_),
-    .B2(_08060_),
+ sky130_fd_sc_hd__a22o_1 _11716_ (.A1(\u_uart_core.u_txfifo.mem[6][6] ),
+    .A2(_07422_),
+    .B1(net38),
+    .B2(_07424_),
     .X(_01468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12603_ (.A1(\u_uart_core.u_txfifo.mem[6][5] ),
-    .A2(_08058_),
-    .B1(_07291_),
-    .B2(_08060_),
+ sky130_fd_sc_hd__a22o_1 _11717_ (.A1(\u_uart_core.u_txfifo.mem[6][5] ),
+    .A2(_07422_),
+    .B1(net37),
+    .B2(_07424_),
     .X(_01467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12604_ (.A1(\u_uart_core.u_txfifo.mem[6][4] ),
-    .A2(_08058_),
-    .B1(_07266_),
-    .B2(_08060_),
+ sky130_fd_sc_hd__a22o_1 _11718_ (.A1(\u_uart_core.u_txfifo.mem[6][4] ),
+    .A2(_07422_),
+    .B1(net36),
+    .B2(_07424_),
     .X(_01466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12605_ (.A(_08057_),
-    .X(_08061_),
+ sky130_fd_sc_hd__clkbuf_2 _11719_ (.A(_07421_),
+    .X(_07425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12606_ (.A(net35),
-    .X(_08062_),
+ sky130_fd_sc_hd__clkbuf_2 _11720_ (.A(_07423_),
+    .X(_07426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12607_ (.A(_08059_),
-    .X(_08063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12608_ (.A1(\u_uart_core.u_txfifo.mem[6][3] ),
-    .A2(_08061_),
-    .B1(_08062_),
-    .B2(_08063_),
+ sky130_fd_sc_hd__a22o_1 _11721_ (.A1(\u_uart_core.u_txfifo.mem[6][3] ),
+    .A2(_07425_),
+    .B1(net35),
+    .B2(_07426_),
     .X(_01465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12609_ (.A(_07042_),
-    .X(_08064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12610_ (.A1(\u_uart_core.u_txfifo.mem[6][2] ),
-    .A2(_08061_),
-    .B1(_08064_),
-    .B2(_08063_),
+ sky130_fd_sc_hd__a22o_1 _11722_ (.A1(\u_uart_core.u_txfifo.mem[6][2] ),
+    .A2(_07425_),
+    .B1(net32),
+    .B2(_07426_),
     .X(_01464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12611_ (.A(_07045_),
-    .X(_08065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12612_ (.A1(\u_uart_core.u_txfifo.mem[6][1] ),
-    .A2(_08061_),
-    .B1(_08065_),
-    .B2(_08063_),
+ sky130_fd_sc_hd__a22o_1 _11723_ (.A1(\u_uart_core.u_txfifo.mem[6][1] ),
+    .A2(_07425_),
+    .B1(net25),
+    .B2(_07426_),
     .X(_01463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12613_ (.A(_07047_),
-    .X(_08066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12614_ (.A1(\u_uart_core.u_txfifo.mem[6][0] ),
-    .A2(_08061_),
-    .B1(_08066_),
-    .B2(_08063_),
+ sky130_fd_sc_hd__a22o_1 _11724_ (.A1(\u_uart_core.u_txfifo.mem[6][0] ),
+    .A2(_07425_),
+    .B1(net14),
+    .B2(_07426_),
     .X(_01462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _12615_ (.A(_07230_),
-    .B(_07210_),
-    .C(_07016_),
-    .X(_08067_),
+ sky130_fd_sc_hd__or3_2 _11725_ (.A(_06822_),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .C(_06636_),
+    .X(_07427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12616_ (.A(_08067_),
-    .X(_08068_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11726_ (.A(_07427_),
+    .X(_07428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12617_ (.A(_08067_),
-    .Y(_08069_),
+ sky130_fd_sc_hd__inv_2 _11727_ (.A(_07427_),
+    .Y(_07429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12618_ (.A(_08069_),
-    .X(_08070_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11728_ (.A(_07429_),
+    .X(_07430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12619_ (.A1(\u_uart_core.u_txfifo.mem[9][7] ),
-    .A2(_08068_),
-    .B1(_07288_),
-    .B2(_08070_),
+ sky130_fd_sc_hd__a22o_1 _11729_ (.A1(\u_uart_core.u_txfifo.mem[9][7] ),
+    .A2(_07428_),
+    .B1(net39),
+    .B2(_07430_),
     .X(_01461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12620_ (.A1(\u_uart_core.u_txfifo.mem[9][6] ),
-    .A2(_08068_),
-    .B1(_07289_),
-    .B2(_08070_),
+ sky130_fd_sc_hd__a22o_1 _11730_ (.A1(\u_uart_core.u_txfifo.mem[9][6] ),
+    .A2(_07428_),
+    .B1(net38),
+    .B2(_07430_),
     .X(_01460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12621_ (.A1(\u_uart_core.u_txfifo.mem[9][5] ),
-    .A2(_08068_),
-    .B1(_07291_),
-    .B2(_08070_),
+ sky130_fd_sc_hd__a22o_1 _11731_ (.A1(\u_uart_core.u_txfifo.mem[9][5] ),
+    .A2(_07428_),
+    .B1(net37),
+    .B2(_07430_),
     .X(_01459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12622_ (.A(_06900_),
-    .X(_08071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12623_ (.A1(\u_uart_core.u_txfifo.mem[9][4] ),
-    .A2(_08068_),
-    .B1(_08071_),
-    .B2(_08070_),
+ sky130_fd_sc_hd__a22o_1 _11732_ (.A1(\u_uart_core.u_txfifo.mem[9][4] ),
+    .A2(_07428_),
+    .B1(net36),
+    .B2(_07430_),
     .X(_01458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12624_ (.A(_08067_),
-    .X(_08072_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11733_ (.A(_07427_),
+    .X(_07431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12625_ (.A(_08069_),
-    .X(_08073_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11734_ (.A(_07429_),
+    .X(_07432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12626_ (.A1(\u_uart_core.u_txfifo.mem[9][3] ),
-    .A2(_08072_),
-    .B1(_08062_),
-    .B2(_08073_),
+ sky130_fd_sc_hd__a22o_1 _11735_ (.A1(\u_uart_core.u_txfifo.mem[9][3] ),
+    .A2(_07431_),
+    .B1(net35),
+    .B2(_07432_),
     .X(_01457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12627_ (.A1(\u_uart_core.u_txfifo.mem[9][2] ),
-    .A2(_08072_),
-    .B1(_08064_),
-    .B2(_08073_),
+ sky130_fd_sc_hd__a22o_1 _11736_ (.A1(\u_uart_core.u_txfifo.mem[9][2] ),
+    .A2(_07431_),
+    .B1(net32),
+    .B2(_07432_),
     .X(_01456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12628_ (.A1(\u_uart_core.u_txfifo.mem[9][1] ),
-    .A2(_08072_),
-    .B1(_08065_),
-    .B2(_08073_),
+ sky130_fd_sc_hd__a22o_1 _11737_ (.A1(\u_uart_core.u_txfifo.mem[9][1] ),
+    .A2(_07431_),
+    .B1(net25),
+    .B2(_07432_),
     .X(_01455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12629_ (.A1(\u_uart_core.u_txfifo.mem[9][0] ),
-    .A2(_08072_),
-    .B1(_08066_),
-    .B2(_08073_),
+ sky130_fd_sc_hd__a22o_1 _11738_ (.A1(\u_uart_core.u_txfifo.mem[9][0] ),
+    .A2(_07431_),
+    .B1(net14),
+    .B2(_07432_),
     .X(_01454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _12630_ (.A(_05551_),
+ sky130_fd_sc_hd__or3_2 _11739_ (.A(_05317_),
     .B(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .C(_05552_),
-    .X(_08074_),
+    .C(_05326_),
+    .X(_07433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12631_ (.A(_08074_),
-    .X(_08075_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11740_ (.A(_07433_),
+    .X(_07434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12632_ (.A(_08075_),
-    .X(_08076_),
+ sky130_fd_sc_hd__clkbuf_2 _11741_ (.A(_07434_),
+    .X(_07435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12633_ (.A(_08074_),
-    .Y(_08077_),
+ sky130_fd_sc_hd__inv_2 _11742_ (.A(_07433_),
+    .Y(_07436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12634_ (.A(_08077_),
-    .X(_08078_),
+ sky130_fd_sc_hd__clkbuf_2 _11743_ (.A(_07436_),
+    .X(_07437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12635_ (.A(_08078_),
-    .X(_08079_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11744_ (.A(_07437_),
+    .X(_07438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12636_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][42] ),
-    .A2(_08076_),
-    .B1(_07270_),
-    .B2(_08079_),
+ sky130_fd_sc_hd__a22o_1 _11745_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][42] ),
+    .A2(_07435_),
+    .B1(net11),
+    .B2(_07438_),
     .X(_01453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12637_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ),
-    .A2(_08076_),
-    .B1(_07271_),
-    .B2(_08079_),
+ sky130_fd_sc_hd__a22o_1 _11746_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ),
+    .A2(_07435_),
+    .B1(net10),
+    .B2(_07438_),
     .X(_01452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12638_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][40] ),
-    .A2(_08076_),
-    .B1(_07273_),
-    .B2(_08079_),
+ sky130_fd_sc_hd__a22o_1 _11747_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][40] ),
+    .A2(_07435_),
+    .B1(net9),
+    .B2(_07438_),
     .X(_01451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12639_ (.A(_08075_),
-    .X(_08080_),
+ sky130_fd_sc_hd__clkbuf_2 _11748_ (.A(_07434_),
+    .X(_07439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12640_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
-    .A2(_08080_),
-    .B1(_07274_),
-    .B2(_08079_),
+ sky130_fd_sc_hd__a22o_1 _11749_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
+    .A2(_07439_),
+    .B1(net8),
+    .B2(_07438_),
     .X(_01450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _12641_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
-    .A2(_08078_),
-    .B1(_06381_),
-    .B2(_08076_),
+ sky130_fd_sc_hd__o22a_1 _11750_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
+    .A2(_07437_),
+    .B1(net133),
+    .B2(_07435_),
     .X(_01449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12642_ (.A(_08078_),
-    .X(_08081_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11751_ (.A(_07437_),
+    .X(_07440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12643_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
-    .A2(_08080_),
+ sky130_fd_sc_hd__a22o_1 _11752_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
+    .A2(_07439_),
     .B1(net34),
-    .B2(_08081_),
+    .B2(_07440_),
     .X(_01448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12644_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
-    .A2(_08080_),
+ sky130_fd_sc_hd__a22o_1 _11753_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
+    .A2(_07439_),
     .B1(net33),
-    .B2(_08081_),
+    .B2(_07440_),
     .X(_01447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12645_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
-    .A2(_08080_),
+ sky130_fd_sc_hd__a22o_1 _11754_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
+    .A2(_07439_),
     .B1(net31),
-    .B2(_08081_),
+    .B2(_07440_),
     .X(_01446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12646_ (.A(_08075_),
-    .X(_08082_),
+ sky130_fd_sc_hd__clkbuf_2 _11755_ (.A(_07434_),
+    .X(_07441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12647_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ),
-    .A2(_08082_),
+ sky130_fd_sc_hd__a22o_1 _11756_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ),
+    .A2(_07441_),
     .B1(net30),
-    .B2(_08081_),
+    .B2(_07440_),
     .X(_01445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12648_ (.A(_08078_),
-    .X(_08083_),
+ sky130_fd_sc_hd__clkbuf_2 _11757_ (.A(_07437_),
+    .X(_07442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12649_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
-    .A2(_08082_),
+ sky130_fd_sc_hd__a22o_1 _11758_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
+    .A2(_07441_),
     .B1(net29),
-    .B2(_08083_),
+    .B2(_07442_),
     .X(_01444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12650_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
-    .A2(_08082_),
+ sky130_fd_sc_hd__a22o_1 _11759_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
+    .A2(_07441_),
     .B1(net28),
-    .B2(_08083_),
+    .B2(_07442_),
     .X(_01443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12651_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
-    .A2(_08082_),
+ sky130_fd_sc_hd__a22o_1 _11760_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
+    .A2(_07441_),
     .B1(net27),
-    .B2(_08083_),
+    .B2(_07442_),
     .X(_01442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12652_ (.A(_08074_),
-    .X(_08084_),
+ sky130_fd_sc_hd__clkbuf_2 _11761_ (.A(_07433_),
+    .X(_07443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12653_ (.A(_08084_),
-    .X(_08085_),
+ sky130_fd_sc_hd__clkbuf_2 _11762_ (.A(_07443_),
+    .X(_07444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12654_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
-    .A2(_08085_),
+ sky130_fd_sc_hd__a22o_1 _11763_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
+    .A2(_07444_),
     .B1(net26),
-    .B2(_08083_),
+    .B2(_07442_),
     .X(_01441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12655_ (.A(_08077_),
-    .X(_08086_),
+ sky130_fd_sc_hd__clkbuf_2 _11764_ (.A(_07436_),
+    .X(_07445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12656_ (.A(_08086_),
-    .X(_08087_),
+ sky130_fd_sc_hd__clkbuf_2 _11765_ (.A(_07445_),
+    .X(_07446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12657_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
-    .A2(_08085_),
+ sky130_fd_sc_hd__a22o_1 _11766_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
+    .A2(_07444_),
     .B1(net24),
-    .B2(_08087_),
+    .B2(_07446_),
     .X(_01440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12658_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
-    .A2(_08085_),
+ sky130_fd_sc_hd__a22o_1 _11767_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
+    .A2(_07444_),
     .B1(net23),
-    .B2(_08087_),
+    .B2(_07446_),
     .X(_01439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12659_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
-    .A2(_08085_),
+ sky130_fd_sc_hd__a22o_1 _11768_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
+    .A2(_07444_),
     .B1(net22),
-    .B2(_08087_),
+    .B2(_07446_),
     .X(_01438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12660_ (.A(_08084_),
-    .X(_08088_),
+ sky130_fd_sc_hd__clkbuf_2 _11769_ (.A(_07443_),
+    .X(_07447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12661_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
-    .A2(_08088_),
+ sky130_fd_sc_hd__a22o_1 _11770_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
+    .A2(_07447_),
     .B1(net21),
-    .B2(_08087_),
+    .B2(_07446_),
     .X(_01437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12662_ (.A(_08086_),
-    .X(_08089_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11771_ (.A(_07445_),
+    .X(_07448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12663_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
-    .A2(_08088_),
+ sky130_fd_sc_hd__a22o_1 _11772_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
+    .A2(_07447_),
     .B1(net20),
-    .B2(_08089_),
+    .B2(_07448_),
     .X(_01436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12664_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
-    .A2(_08088_),
+ sky130_fd_sc_hd__a22o_1 _11773_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
+    .A2(_07447_),
     .B1(net19),
-    .B2(_08089_),
+    .B2(_07448_),
     .X(_01435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12665_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
-    .A2(_08088_),
+ sky130_fd_sc_hd__a22o_1 _11774_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
+    .A2(_07447_),
     .B1(net18),
-    .B2(_08089_),
+    .B2(_07448_),
     .X(_01434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12666_ (.A(_08084_),
-    .X(_08090_),
+ sky130_fd_sc_hd__clkbuf_2 _11775_ (.A(_07443_),
+    .X(_07449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12667_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
-    .A2(_08090_),
+ sky130_fd_sc_hd__a22o_1 _11776_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
+    .A2(_07449_),
     .B1(net17),
-    .B2(_08089_),
+    .B2(_07448_),
     .X(_01433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12668_ (.A(_08086_),
-    .X(_08091_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11777_ (.A(_07445_),
+    .X(_07450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12669_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
-    .A2(_08090_),
+ sky130_fd_sc_hd__a22o_1 _11778_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
+    .A2(_07449_),
     .B1(net16),
-    .B2(_08091_),
+    .B2(_07450_),
     .X(_01432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12670_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
-    .A2(_08090_),
+ sky130_fd_sc_hd__a22o_1 _11779_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
+    .A2(_07449_),
     .B1(net15),
-    .B2(_08091_),
+    .B2(_07450_),
     .X(_01431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12671_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
-    .A2(_08090_),
+ sky130_fd_sc_hd__a22o_1 _11780_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
+    .A2(_07449_),
     .B1(net41),
-    .B2(_08091_),
+    .B2(_07450_),
     .X(_01430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12672_ (.A(_08084_),
-    .X(_08092_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11781_ (.A(_07443_),
+    .X(_07451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12673_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
-    .A2(_08092_),
+ sky130_fd_sc_hd__a22o_1 _11782_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
+    .A2(_07451_),
     .B1(net40),
-    .B2(_08091_),
+    .B2(_07450_),
     .X(_01429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12674_ (.A(_07213_),
-    .X(_08093_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11783_ (.A(_07445_),
+    .X(_07452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12675_ (.A(_08086_),
-    .X(_08094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12676_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
-    .A2(_08092_),
-    .B1(_08093_),
-    .B2(_08094_),
+ sky130_fd_sc_hd__a22o_1 _11784_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
+    .A2(_07451_),
+    .B1(net39),
+    .B2(_07452_),
     .X(_01428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12677_ (.A(_07217_),
-    .X(_08095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12678_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
-    .A2(_08092_),
-    .B1(_08095_),
-    .B2(_08094_),
+ sky130_fd_sc_hd__a22o_1 _11785_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
+    .A2(_07451_),
+    .B1(net38),
+    .B2(_07452_),
     .X(_01427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12679_ (.A(_07219_),
-    .X(_08096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12680_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
-    .A2(_08092_),
-    .B1(_08096_),
-    .B2(_08094_),
+ sky130_fd_sc_hd__a22o_1 _11786_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
+    .A2(_07451_),
+    .B1(net37),
+    .B2(_07452_),
     .X(_01426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12681_ (.A(_08074_),
-    .X(_08097_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11787_ (.A(_07433_),
+    .X(_07453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12682_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
-    .A2(_08097_),
-    .B1(_08071_),
-    .B2(_08094_),
+ sky130_fd_sc_hd__a22o_1 _11788_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
+    .A2(_07453_),
+    .B1(net36),
+    .B2(_07452_),
     .X(_01425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12683_ (.A(_08077_),
-    .X(_08098_),
+ sky130_fd_sc_hd__clkbuf_2 _11789_ (.A(_07436_),
+    .X(_07454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12684_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
-    .A2(_08097_),
-    .B1(_08062_),
-    .B2(_08098_),
+ sky130_fd_sc_hd__a22o_1 _11790_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
+    .A2(_07453_),
+    .B1(net35),
+    .B2(_07454_),
     .X(_01424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12685_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
-    .A2(_08097_),
-    .B1(_08064_),
-    .B2(_08098_),
+ sky130_fd_sc_hd__a22o_1 _11791_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
+    .A2(_07453_),
+    .B1(net32),
+    .B2(_07454_),
     .X(_01423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12686_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
-    .A2(_08097_),
-    .B1(_08065_),
-    .B2(_08098_),
+ sky130_fd_sc_hd__a22o_1 _11792_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
+    .A2(_07453_),
+    .B1(net25),
+    .B2(_07454_),
     .X(_01422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12687_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
-    .A2(_08075_),
-    .B1(_08066_),
-    .B2(_08098_),
+ sky130_fd_sc_hd__a22o_1 _11793_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
+    .A2(_07434_),
+    .B1(net14),
+    .B2(_07454_),
     .X(_01421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12688_ (.A(_05541_),
-    .X(_08099_),
+ sky130_fd_sc_hd__or3_2 _11794_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .B(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .C(_05318_),
+    .X(_07455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _12689_ (.A(_08099_),
-    .B(_05694_),
-    .C(_05559_),
-    .X(_08100_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11795_ (.A(_07455_),
+    .X(_07456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12690_ (.A(_08100_),
-    .X(_08101_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11796_ (.A(_07456_),
+    .X(_07457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12691_ (.A(_08101_),
-    .X(_08102_),
+ sky130_fd_sc_hd__inv_2 _11797_ (.A(_07455_),
+    .Y(_07458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12692_ (.A(_08100_),
-    .Y(_08103_),
+ sky130_fd_sc_hd__clkbuf_2 _11798_ (.A(_07458_),
+    .X(_07459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12693_ (.A(_08103_),
-    .X(_08104_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11799_ (.A(_07459_),
+    .X(_07460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12694_ (.A(_08104_),
-    .X(_08105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12695_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
-    .A2(_08102_),
-    .B1(_07270_),
-    .B2(_08105_),
+ sky130_fd_sc_hd__a22o_1 _11800_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
+    .A2(_07457_),
+    .B1(net11),
+    .B2(_07460_),
     .X(_01420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12696_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
-    .A2(_08102_),
-    .B1(_07271_),
-    .B2(_08105_),
+ sky130_fd_sc_hd__a22o_1 _11801_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
+    .A2(_07457_),
+    .B1(net10),
+    .B2(_07460_),
     .X(_01419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12697_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
-    .A2(_08102_),
-    .B1(_07273_),
-    .B2(_08105_),
+ sky130_fd_sc_hd__a22o_1 _11802_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
+    .A2(_07457_),
+    .B1(net9),
+    .B2(_07460_),
     .X(_01418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12698_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
-    .A2(_08102_),
-    .B1(_07274_),
-    .B2(_08105_),
+ sky130_fd_sc_hd__a22o_1 _11803_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
+    .A2(_07457_),
+    .B1(net8),
+    .B2(_07460_),
     .X(_01417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12699_ (.A(_08101_),
-    .X(_08106_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11804_ (.A(_07456_),
+    .X(_07461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12700_ (.A(_08104_),
-    .X(_08107_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11805_ (.A(_07459_),
+    .X(_07462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12701_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
-    .A2(_08106_),
-    .B1(_06381_),
-    .B2(_08107_),
+ sky130_fd_sc_hd__a22o_1 _11806_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
+    .A2(_07461_),
+    .B1(net134),
+    .B2(_07462_),
     .X(_01416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12702_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
-    .A2(_08106_),
+ sky130_fd_sc_hd__a22o_1 _11807_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
+    .A2(_07461_),
     .B1(net34),
-    .B2(_08107_),
+    .B2(_07462_),
     .X(_01415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12703_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
-    .A2(_08106_),
+ sky130_fd_sc_hd__a22o_1 _11808_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
+    .A2(_07461_),
     .B1(net33),
-    .B2(_08107_),
+    .B2(_07462_),
     .X(_01414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12704_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
-    .A2(_08106_),
+ sky130_fd_sc_hd__a22o_1 _11809_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
+    .A2(_07461_),
     .B1(net31),
-    .B2(_08107_),
+    .B2(_07462_),
     .X(_01413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12705_ (.A(_08101_),
-    .X(_08108_),
+ sky130_fd_sc_hd__clkbuf_2 _11810_ (.A(_07456_),
+    .X(_07463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12706_ (.A(_08104_),
-    .X(_08109_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11811_ (.A(_07459_),
+    .X(_07464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12707_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
-    .A2(_08108_),
+ sky130_fd_sc_hd__a22o_1 _11812_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
+    .A2(_07463_),
     .B1(net30),
-    .B2(_08109_),
+    .B2(_07464_),
     .X(_01412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12708_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
-    .A2(_08108_),
+ sky130_fd_sc_hd__a22o_1 _11813_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
+    .A2(_07463_),
     .B1(net29),
-    .B2(_08109_),
+    .B2(_07464_),
     .X(_01411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12709_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
-    .A2(_08108_),
+ sky130_fd_sc_hd__a22o_1 _11814_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
+    .A2(_07463_),
     .B1(net28),
-    .B2(_08109_),
+    .B2(_07464_),
     .X(_01410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12710_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
-    .A2(_08108_),
+ sky130_fd_sc_hd__a22o_1 _11815_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
+    .A2(_07463_),
     .B1(net27),
-    .B2(_08109_),
+    .B2(_07464_),
     .X(_01409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12711_ (.A(_08100_),
-    .X(_08110_),
+ sky130_fd_sc_hd__clkbuf_2 _11816_ (.A(_07455_),
+    .X(_07465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12712_ (.A(_08110_),
-    .X(_08111_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11817_ (.A(_07465_),
+    .X(_07466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12713_ (.A(_08103_),
-    .X(_08112_),
+ sky130_fd_sc_hd__clkbuf_2 _11818_ (.A(_07458_),
+    .X(_07467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12714_ (.A(_08112_),
-    .X(_08113_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11819_ (.A(_07467_),
+    .X(_07468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12715_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
-    .A2(_08111_),
+ sky130_fd_sc_hd__a22o_1 _11820_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
+    .A2(_07466_),
     .B1(net26),
-    .B2(_08113_),
+    .B2(_07468_),
     .X(_01408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12716_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
-    .A2(_08111_),
+ sky130_fd_sc_hd__a22o_1 _11821_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
+    .A2(_07466_),
     .B1(net24),
-    .B2(_08113_),
+    .B2(_07468_),
     .X(_01407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12717_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
-    .A2(_08111_),
+ sky130_fd_sc_hd__a22o_1 _11822_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
+    .A2(_07466_),
     .B1(net23),
-    .B2(_08113_),
+    .B2(_07468_),
     .X(_01406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12718_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
-    .A2(_08111_),
+ sky130_fd_sc_hd__a22o_1 _11823_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
+    .A2(_07466_),
     .B1(net22),
-    .B2(_08113_),
+    .B2(_07468_),
     .X(_01405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12719_ (.A(_08110_),
-    .X(_08114_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11824_ (.A(_07465_),
+    .X(_07469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12720_ (.A(_08112_),
-    .X(_08115_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11825_ (.A(_07467_),
+    .X(_07470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12721_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
-    .A2(_08114_),
+ sky130_fd_sc_hd__a22o_1 _11826_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
+    .A2(_07469_),
     .B1(net21),
-    .B2(_08115_),
+    .B2(_07470_),
     .X(_01404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12722_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
-    .A2(_08114_),
+ sky130_fd_sc_hd__a22o_1 _11827_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
+    .A2(_07469_),
     .B1(net20),
-    .B2(_08115_),
+    .B2(_07470_),
     .X(_01403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12723_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
-    .A2(_08114_),
+ sky130_fd_sc_hd__a22o_1 _11828_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
+    .A2(_07469_),
     .B1(net19),
-    .B2(_08115_),
+    .B2(_07470_),
     .X(_01402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12724_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
-    .A2(_08114_),
+ sky130_fd_sc_hd__a22o_1 _11829_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
+    .A2(_07469_),
     .B1(net18),
-    .B2(_08115_),
+    .B2(_07470_),
     .X(_01401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12725_ (.A(_08110_),
-    .X(_08116_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11830_ (.A(_07465_),
+    .X(_07471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12726_ (.A(_08112_),
-    .X(_08117_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11831_ (.A(_07467_),
+    .X(_07472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12727_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
-    .A2(_08116_),
+ sky130_fd_sc_hd__a22o_1 _11832_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
+    .A2(_07471_),
     .B1(net17),
-    .B2(_08117_),
+    .B2(_07472_),
     .X(_01400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12728_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
-    .A2(_08116_),
+ sky130_fd_sc_hd__a22o_1 _11833_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
+    .A2(_07471_),
     .B1(net16),
-    .B2(_08117_),
+    .B2(_07472_),
     .X(_01399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12729_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
-    .A2(_08116_),
+ sky130_fd_sc_hd__a22o_1 _11834_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
+    .A2(_07471_),
     .B1(net15),
-    .B2(_08117_),
+    .B2(_07472_),
     .X(_01398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12730_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
-    .A2(_08116_),
+ sky130_fd_sc_hd__a22o_1 _11835_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
+    .A2(_07471_),
     .B1(net41),
-    .B2(_08117_),
+    .B2(_07472_),
     .X(_01397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12731_ (.A(_08110_),
-    .X(_08118_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11836_ (.A(_07465_),
+    .X(_07473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12732_ (.A(_08112_),
-    .X(_08119_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11837_ (.A(_07467_),
+    .X(_07474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12733_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
-    .A2(_08118_),
+ sky130_fd_sc_hd__a22o_1 _11838_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
+    .A2(_07473_),
     .B1(net40),
-    .B2(_08119_),
+    .B2(_07474_),
     .X(_01396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12734_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
-    .A2(_08118_),
-    .B1(_08093_),
-    .B2(_08119_),
+ sky130_fd_sc_hd__a22o_1 _11839_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
+    .A2(_07473_),
+    .B1(net39),
+    .B2(_07474_),
     .X(_01395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12735_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
-    .A2(_08118_),
-    .B1(_08095_),
-    .B2(_08119_),
+ sky130_fd_sc_hd__a22o_1 _11840_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
+    .A2(_07473_),
+    .B1(net38),
+    .B2(_07474_),
     .X(_01394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12736_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
-    .A2(_08118_),
-    .B1(_08096_),
-    .B2(_08119_),
+ sky130_fd_sc_hd__a22o_1 _11841_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
+    .A2(_07473_),
+    .B1(net37),
+    .B2(_07474_),
     .X(_01393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12737_ (.A(_08100_),
-    .X(_08120_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11842_ (.A(_07455_),
+    .X(_07475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12738_ (.A(_08103_),
-    .X(_08121_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11843_ (.A(_07458_),
+    .X(_07476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12739_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
-    .A2(_08120_),
-    .B1(_08071_),
-    .B2(_08121_),
+ sky130_fd_sc_hd__a22o_1 _11844_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
+    .A2(_07475_),
+    .B1(net36),
+    .B2(_07476_),
     .X(_01392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12740_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
-    .A2(_08120_),
-    .B1(_08062_),
-    .B2(_08121_),
+ sky130_fd_sc_hd__a22o_1 _11845_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
+    .A2(_07475_),
+    .B1(net35),
+    .B2(_07476_),
     .X(_01391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12741_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
-    .A2(_08120_),
-    .B1(_08064_),
-    .B2(_08121_),
+ sky130_fd_sc_hd__a22o_1 _11846_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
+    .A2(_07475_),
+    .B1(net32),
+    .B2(_07476_),
     .X(_01390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12742_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
-    .A2(_08120_),
-    .B1(_08065_),
-    .B2(_08121_),
+ sky130_fd_sc_hd__a22o_1 _11847_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
+    .A2(_07475_),
+    .B1(net25),
+    .B2(_07476_),
     .X(_01389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12743_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
-    .A2(_08101_),
-    .B1(_08066_),
-    .B2(_08104_),
+ sky130_fd_sc_hd__a22o_1 _11848_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
+    .A2(_07456_),
+    .B1(net14),
+    .B2(_07459_),
     .X(_01388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _12744_ (.A(_08099_),
-    .B(_05542_),
-    .C(_05559_),
-    .X(_08122_),
+ sky130_fd_sc_hd__or3_2 _11849_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .B(_05308_),
+    .C(_05326_),
+    .X(_07477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12745_ (.A(_08122_),
-    .X(_08123_),
+ sky130_fd_sc_hd__clkbuf_2 _11850_ (.A(_07477_),
+    .X(_07478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12746_ (.A(_08123_),
-    .X(_08124_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11851_ (.A(_07478_),
+    .X(_07479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12747_ (.A(_08122_),
-    .Y(_08125_),
+ sky130_fd_sc_hd__inv_2 _11852_ (.A(_07477_),
+    .Y(_07480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12748_ (.A(_08125_),
-    .X(_08126_),
+ sky130_fd_sc_hd__clkbuf_2 _11853_ (.A(_07480_),
+    .X(_07481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12749_ (.A(_08126_),
-    .X(_08127_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11854_ (.A(_07481_),
+    .X(_07482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12750_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][42] ),
-    .A2(_08124_),
-    .B1(_07270_),
-    .B2(_08127_),
+ sky130_fd_sc_hd__a22o_1 _11855_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][42] ),
+    .A2(_07479_),
+    .B1(net11),
+    .B2(_07482_),
     .X(_01387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12751_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ),
-    .A2(_08124_),
-    .B1(_07271_),
-    .B2(_08127_),
+ sky130_fd_sc_hd__a22o_1 _11856_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ),
+    .A2(_07479_),
+    .B1(net10),
+    .B2(_07482_),
     .X(_01386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12752_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][40] ),
-    .A2(_08124_),
-    .B1(_07273_),
-    .B2(_08127_),
+ sky130_fd_sc_hd__a22o_1 _11857_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][40] ),
+    .A2(_07479_),
+    .B1(net9),
+    .B2(_07482_),
     .X(_01385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12753_ (.A(_08123_),
-    .X(_08128_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11858_ (.A(_07478_),
+    .X(_07483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12754_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
-    .A2(_08128_),
-    .B1(_07274_),
-    .B2(_08127_),
+ sky130_fd_sc_hd__a22o_1 _11859_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
+    .A2(_07483_),
+    .B1(net8),
+    .B2(_07482_),
     .X(_01384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _12755_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
-    .A2(_08126_),
-    .B1(_05805_),
-    .B2(_08124_),
+ sky130_fd_sc_hd__o22a_1 _11860_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
+    .A2(_07481_),
+    .B1(net132),
+    .B2(_07479_),
     .X(_01383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12756_ (.A(_08126_),
-    .X(_08129_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11861_ (.A(_07481_),
+    .X(_07484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12757_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
-    .A2(_08128_),
+ sky130_fd_sc_hd__a22o_1 _11862_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
+    .A2(_07483_),
     .B1(net34),
-    .B2(_08129_),
+    .B2(_07484_),
     .X(_01382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12758_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
-    .A2(_08128_),
+ sky130_fd_sc_hd__a22o_1 _11863_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
+    .A2(_07483_),
     .B1(net33),
-    .B2(_08129_),
+    .B2(_07484_),
     .X(_01381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12759_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ),
-    .A2(_08128_),
+ sky130_fd_sc_hd__a22o_1 _11864_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ),
+    .A2(_07483_),
     .B1(net31),
-    .B2(_08129_),
+    .B2(_07484_),
     .X(_01380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12760_ (.A(_08123_),
-    .X(_08130_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11865_ (.A(_07478_),
+    .X(_07485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12761_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ),
-    .A2(_08130_),
+ sky130_fd_sc_hd__a22o_1 _11866_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ),
+    .A2(_07485_),
     .B1(net30),
-    .B2(_08129_),
+    .B2(_07484_),
     .X(_01379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12762_ (.A(_08126_),
-    .X(_08131_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11867_ (.A(_07481_),
+    .X(_07486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12763_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
-    .A2(_08130_),
+ sky130_fd_sc_hd__a22o_1 _11868_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
+    .A2(_07485_),
     .B1(net29),
-    .B2(_08131_),
+    .B2(_07486_),
     .X(_01378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12764_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
-    .A2(_08130_),
+ sky130_fd_sc_hd__a22o_1 _11869_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
+    .A2(_07485_),
     .B1(net28),
-    .B2(_08131_),
+    .B2(_07486_),
     .X(_01377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12765_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
-    .A2(_08130_),
+ sky130_fd_sc_hd__a22o_1 _11870_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
+    .A2(_07485_),
     .B1(net27),
-    .B2(_08131_),
+    .B2(_07486_),
     .X(_01376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12766_ (.A(_08122_),
-    .X(_08132_),
+ sky130_fd_sc_hd__clkbuf_2 _11871_ (.A(_07477_),
+    .X(_07487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12767_ (.A(_08132_),
-    .X(_08133_),
+ sky130_fd_sc_hd__clkbuf_2 _11872_ (.A(_07487_),
+    .X(_07488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12768_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
-    .A2(_08133_),
+ sky130_fd_sc_hd__a22o_1 _11873_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
+    .A2(_07488_),
     .B1(net26),
-    .B2(_08131_),
+    .B2(_07486_),
     .X(_01375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12769_ (.A(_08125_),
-    .X(_08134_),
+ sky130_fd_sc_hd__clkbuf_2 _11874_ (.A(_07480_),
+    .X(_07489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12770_ (.A(_08134_),
-    .X(_08135_),
+ sky130_fd_sc_hd__clkbuf_2 _11875_ (.A(_07489_),
+    .X(_07490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12771_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
-    .A2(_08133_),
+ sky130_fd_sc_hd__a22o_1 _11876_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
+    .A2(_07488_),
     .B1(net24),
-    .B2(_08135_),
+    .B2(_07490_),
     .X(_01374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12772_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
-    .A2(_08133_),
+ sky130_fd_sc_hd__a22o_1 _11877_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
+    .A2(_07488_),
     .B1(net23),
-    .B2(_08135_),
+    .B2(_07490_),
     .X(_01373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12773_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
-    .A2(_08133_),
+ sky130_fd_sc_hd__a22o_1 _11878_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
+    .A2(_07488_),
     .B1(net22),
-    .B2(_08135_),
+    .B2(_07490_),
     .X(_01372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12774_ (.A(_08132_),
-    .X(_08136_),
+ sky130_fd_sc_hd__clkbuf_2 _11879_ (.A(_07487_),
+    .X(_07491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12775_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
-    .A2(_08136_),
+ sky130_fd_sc_hd__a22o_1 _11880_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
+    .A2(_07491_),
     .B1(net21),
-    .B2(_08135_),
+    .B2(_07490_),
     .X(_01371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12776_ (.A(_08134_),
-    .X(_08137_),
+ sky130_fd_sc_hd__clkbuf_2 _11881_ (.A(_07489_),
+    .X(_07492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12777_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
-    .A2(_08136_),
+ sky130_fd_sc_hd__a22o_1 _11882_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
+    .A2(_07491_),
     .B1(net20),
-    .B2(_08137_),
+    .B2(_07492_),
     .X(_01370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12778_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
-    .A2(_08136_),
+ sky130_fd_sc_hd__a22o_1 _11883_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
+    .A2(_07491_),
     .B1(net19),
-    .B2(_08137_),
+    .B2(_07492_),
     .X(_01369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12779_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
-    .A2(_08136_),
+ sky130_fd_sc_hd__a22o_1 _11884_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
+    .A2(_07491_),
     .B1(net18),
-    .B2(_08137_),
+    .B2(_07492_),
     .X(_01368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12780_ (.A(_08132_),
-    .X(_08138_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11885_ (.A(_07487_),
+    .X(_07493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12781_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
-    .A2(_08138_),
+ sky130_fd_sc_hd__a22o_1 _11886_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
+    .A2(_07493_),
     .B1(net17),
-    .B2(_08137_),
+    .B2(_07492_),
     .X(_01367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12782_ (.A(_08134_),
-    .X(_08139_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11887_ (.A(_07489_),
+    .X(_07494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12783_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
-    .A2(_08138_),
+ sky130_fd_sc_hd__a22o_1 _11888_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
+    .A2(_07493_),
     .B1(net16),
-    .B2(_08139_),
+    .B2(_07494_),
     .X(_01366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12784_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
-    .A2(_08138_),
+ sky130_fd_sc_hd__a22o_1 _11889_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
+    .A2(_07493_),
     .B1(net15),
-    .B2(_08139_),
+    .B2(_07494_),
     .X(_01365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12785_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
-    .A2(_08138_),
+ sky130_fd_sc_hd__a22o_1 _11890_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
+    .A2(_07493_),
     .B1(net41),
-    .B2(_08139_),
+    .B2(_07494_),
     .X(_01364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12786_ (.A(_08132_),
-    .X(_08140_),
+ sky130_fd_sc_hd__clkbuf_2 _11891_ (.A(_07487_),
+    .X(_07495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12787_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
-    .A2(_08140_),
+ sky130_fd_sc_hd__a22o_1 _11892_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
+    .A2(_07495_),
     .B1(net40),
-    .B2(_08139_),
+    .B2(_07494_),
     .X(_01363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12788_ (.A(_08134_),
-    .X(_08141_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11893_ (.A(_07489_),
+    .X(_07496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12789_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
-    .A2(_08140_),
-    .B1(_08093_),
-    .B2(_08141_),
+ sky130_fd_sc_hd__a22o_1 _11894_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
+    .A2(_07495_),
+    .B1(net39),
+    .B2(_07496_),
     .X(_01362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12790_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
-    .A2(_08140_),
-    .B1(_08095_),
-    .B2(_08141_),
+ sky130_fd_sc_hd__a22o_1 _11895_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
+    .A2(_07495_),
+    .B1(net38),
+    .B2(_07496_),
     .X(_01361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12791_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
-    .A2(_08140_),
-    .B1(_08096_),
-    .B2(_08141_),
+ sky130_fd_sc_hd__a22o_1 _11896_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
+    .A2(_07495_),
+    .B1(net37),
+    .B2(_07496_),
     .X(_01360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12792_ (.A(_08122_),
-    .X(_08142_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11897_ (.A(_07477_),
+    .X(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12793_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
-    .A2(_08142_),
-    .B1(_08071_),
-    .B2(_08141_),
+ sky130_fd_sc_hd__a22o_1 _11898_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
+    .A2(_07497_),
+    .B1(net36),
+    .B2(_07496_),
     .X(_01359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12794_ (.A(net35),
-    .X(_08143_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11899_ (.A(_07480_),
+    .X(_07498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12795_ (.A(_08125_),
-    .X(_08144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12796_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
-    .A2(_08142_),
-    .B1(_08143_),
-    .B2(_08144_),
+ sky130_fd_sc_hd__a22o_1 _11900_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
+    .A2(_07497_),
+    .B1(net35),
+    .B2(_07498_),
     .X(_01358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12797_ (.A(_07042_),
-    .X(_08145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12798_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
-    .A2(_08142_),
-    .B1(_08145_),
-    .B2(_08144_),
+ sky130_fd_sc_hd__a22o_1 _11901_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
+    .A2(_07497_),
+    .B1(net32),
+    .B2(_07498_),
     .X(_01357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12799_ (.A(_07045_),
-    .X(_08146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12800_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
-    .A2(_08142_),
-    .B1(_08146_),
-    .B2(_08144_),
+ sky130_fd_sc_hd__a22o_1 _11902_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
+    .A2(_07497_),
+    .B1(net25),
+    .B2(_07498_),
     .X(_01356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12801_ (.A(_07047_),
-    .X(_08147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12802_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
-    .A2(_08123_),
-    .B1(_08147_),
-    .B2(_08144_),
+ sky130_fd_sc_hd__a22o_1 _11903_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
+    .A2(_07478_),
+    .B1(net14),
+    .B2(_07498_),
     .X(_01355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _12803_ (.A(_07230_),
-    .B(_07136_),
-    .C(_07016_),
-    .X(_08148_),
+ sky130_fd_sc_hd__or3_1 _11904_ (.A(_06822_),
+    .B(_06757_),
+    .C(_06636_),
+    .X(_07499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12804_ (.A(_08148_),
-    .X(_08149_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11905_ (.A(_07499_),
+    .X(_07500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12805_ (.A(_08148_),
-    .Y(_08150_),
+ sky130_fd_sc_hd__inv_2 _11906_ (.A(_07499_),
+    .Y(_07501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12806_ (.A(_08150_),
-    .X(_08151_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11907_ (.A(_07501_),
+    .X(_07502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12807_ (.A1(\u_uart_core.u_txfifo.mem[13][7] ),
-    .A2(_08149_),
-    .B1(_08093_),
-    .B2(_08151_),
+ sky130_fd_sc_hd__a22o_1 _11908_ (.A1(\u_uart_core.u_txfifo.mem[13][7] ),
+    .A2(_07500_),
+    .B1(net39),
+    .B2(_07502_),
     .X(_01354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12808_ (.A1(\u_uart_core.u_txfifo.mem[13][6] ),
-    .A2(_08149_),
-    .B1(_08095_),
-    .B2(_08151_),
+ sky130_fd_sc_hd__a22o_1 _11909_ (.A1(\u_uart_core.u_txfifo.mem[13][6] ),
+    .A2(_07500_),
+    .B1(net38),
+    .B2(_07502_),
     .X(_01353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12809_ (.A1(\u_uart_core.u_txfifo.mem[13][5] ),
-    .A2(_08149_),
-    .B1(_08096_),
-    .B2(_08151_),
+ sky130_fd_sc_hd__a22o_1 _11910_ (.A1(\u_uart_core.u_txfifo.mem[13][5] ),
+    .A2(_07500_),
+    .B1(net37),
+    .B2(_07502_),
     .X(_01352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12810_ (.A(net36),
-    .X(_08152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12811_ (.A1(\u_uart_core.u_txfifo.mem[13][4] ),
-    .A2(_08149_),
-    .B1(_08152_),
-    .B2(_08151_),
+ sky130_fd_sc_hd__a22o_1 _11911_ (.A1(\u_uart_core.u_txfifo.mem[13][4] ),
+    .A2(_07500_),
+    .B1(net36),
+    .B2(_07502_),
     .X(_01351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12812_ (.A(_08148_),
-    .X(_08153_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11912_ (.A(_07499_),
+    .X(_07503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12813_ (.A(_08150_),
-    .X(_08154_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11913_ (.A(_07501_),
+    .X(_07504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12814_ (.A1(\u_uart_core.u_txfifo.mem[13][3] ),
-    .A2(_08153_),
-    .B1(_08143_),
-    .B2(_08154_),
+ sky130_fd_sc_hd__a22o_1 _11914_ (.A1(\u_uart_core.u_txfifo.mem[13][3] ),
+    .A2(_07503_),
+    .B1(net35),
+    .B2(_07504_),
     .X(_01350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12815_ (.A1(\u_uart_core.u_txfifo.mem[13][2] ),
-    .A2(_08153_),
-    .B1(_08145_),
-    .B2(_08154_),
+ sky130_fd_sc_hd__a22o_1 _11915_ (.A1(\u_uart_core.u_txfifo.mem[13][2] ),
+    .A2(_07503_),
+    .B1(net32),
+    .B2(_07504_),
     .X(_01349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12816_ (.A1(\u_uart_core.u_txfifo.mem[13][1] ),
-    .A2(_08153_),
-    .B1(_08146_),
-    .B2(_08154_),
+ sky130_fd_sc_hd__a22o_1 _11916_ (.A1(\u_uart_core.u_txfifo.mem[13][1] ),
+    .A2(_07503_),
+    .B1(net25),
+    .B2(_07504_),
     .X(_01348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12817_ (.A1(\u_uart_core.u_txfifo.mem[13][0] ),
-    .A2(_08153_),
-    .B1(_08147_),
-    .B2(_08154_),
+ sky130_fd_sc_hd__a22o_1 _11917_ (.A1(\u_uart_core.u_txfifo.mem[13][0] ),
+    .A2(_07503_),
+    .B1(net14),
+    .B2(_07504_),
     .X(_01347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _12818_ (.A(_07260_),
-    .B(_07136_),
-    .C(_07261_),
-    .X(_08155_),
+ sky130_fd_sc_hd__or3_2 _11918_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(_06757_),
+    .C(_06840_),
+    .X(_07505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12819_ (.A(_08155_),
-    .X(_08156_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11919_ (.A(_07505_),
+    .X(_07506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12820_ (.A(_07213_),
-    .X(_08157_),
+ sky130_fd_sc_hd__inv_2 _11920_ (.A(_07505_),
+    .Y(_07507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12821_ (.A(_08155_),
-    .Y(_08158_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11921_ (.A(_07507_),
+    .X(_07508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12822_ (.A(_08158_),
-    .X(_08159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12823_ (.A1(\u_uart_core.u_txfifo.mem[4][7] ),
-    .A2(_08156_),
-    .B1(_08157_),
-    .B2(_08159_),
+ sky130_fd_sc_hd__a22o_1 _11922_ (.A1(\u_uart_core.u_txfifo.mem[4][7] ),
+    .A2(_07506_),
+    .B1(net39),
+    .B2(_07508_),
     .X(_01346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12824_ (.A(_07217_),
-    .X(_08160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12825_ (.A1(\u_uart_core.u_txfifo.mem[4][6] ),
-    .A2(_08156_),
-    .B1(_08160_),
-    .B2(_08159_),
+ sky130_fd_sc_hd__a22o_1 _11923_ (.A1(\u_uart_core.u_txfifo.mem[4][6] ),
+    .A2(_07506_),
+    .B1(net38),
+    .B2(_07508_),
     .X(_01345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12826_ (.A(_07219_),
-    .X(_08161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12827_ (.A1(\u_uart_core.u_txfifo.mem[4][5] ),
-    .A2(_08156_),
-    .B1(_08161_),
-    .B2(_08159_),
+ sky130_fd_sc_hd__a22o_1 _11924_ (.A1(\u_uart_core.u_txfifo.mem[4][5] ),
+    .A2(_07506_),
+    .B1(net37),
+    .B2(_07508_),
     .X(_01344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12828_ (.A1(\u_uart_core.u_txfifo.mem[4][4] ),
-    .A2(_08156_),
-    .B1(_08152_),
-    .B2(_08159_),
+ sky130_fd_sc_hd__a22o_1 _11925_ (.A1(\u_uart_core.u_txfifo.mem[4][4] ),
+    .A2(_07506_),
+    .B1(net36),
+    .B2(_07508_),
     .X(_01343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12829_ (.A(_08155_),
-    .X(_08162_),
+ sky130_fd_sc_hd__clkbuf_2 _11926_ (.A(_07505_),
+    .X(_07509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12830_ (.A(_08158_),
-    .X(_08163_),
+ sky130_fd_sc_hd__clkbuf_2 _11927_ (.A(_07507_),
+    .X(_07510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12831_ (.A1(\u_uart_core.u_txfifo.mem[4][3] ),
-    .A2(_08162_),
-    .B1(_08143_),
-    .B2(_08163_),
+ sky130_fd_sc_hd__a22o_1 _11928_ (.A1(\u_uart_core.u_txfifo.mem[4][3] ),
+    .A2(_07509_),
+    .B1(net35),
+    .B2(_07510_),
     .X(_01342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12832_ (.A1(\u_uart_core.u_txfifo.mem[4][2] ),
-    .A2(_08162_),
-    .B1(_08145_),
-    .B2(_08163_),
+ sky130_fd_sc_hd__a22o_1 _11929_ (.A1(\u_uart_core.u_txfifo.mem[4][2] ),
+    .A2(_07509_),
+    .B1(net32),
+    .B2(_07510_),
     .X(_01341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12833_ (.A1(\u_uart_core.u_txfifo.mem[4][1] ),
-    .A2(_08162_),
-    .B1(_08146_),
-    .B2(_08163_),
+ sky130_fd_sc_hd__a22o_1 _11930_ (.A1(\u_uart_core.u_txfifo.mem[4][1] ),
+    .A2(_07509_),
+    .B1(net25),
+    .B2(_07510_),
     .X(_01340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12834_ (.A1(\u_uart_core.u_txfifo.mem[4][0] ),
-    .A2(_08162_),
-    .B1(_08147_),
-    .B2(_08163_),
+ sky130_fd_sc_hd__a22o_1 _11931_ (.A1(\u_uart_core.u_txfifo.mem[4][0] ),
+    .A2(_07509_),
+    .B1(net14),
+    .B2(_07510_),
     .X(_01339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _12835_ (.A(_07260_),
-    .B(_07135_),
-    .C(_07015_),
-    .X(_08164_),
+ sky130_fd_sc_hd__or3_2 _11932_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(_06756_),
+    .C(_06635_),
+    .X(_07511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12836_ (.A(_08164_),
-    .X(_08165_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11933_ (.A(_07511_),
+    .X(_07512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12837_ (.A(_08164_),
-    .Y(_08166_),
+ sky130_fd_sc_hd__inv_2 _11934_ (.A(_07511_),
+    .Y(_07513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12838_ (.A(_08166_),
-    .X(_08167_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11935_ (.A(_07513_),
+    .X(_07514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12839_ (.A1(\u_uart_core.u_txfifo.mem[5][7] ),
-    .A2(_08165_),
-    .B1(_08157_),
-    .B2(_08167_),
+ sky130_fd_sc_hd__a22o_1 _11936_ (.A1(\u_uart_core.u_txfifo.mem[5][7] ),
+    .A2(_07512_),
+    .B1(net39),
+    .B2(_07514_),
     .X(_01338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12840_ (.A1(\u_uart_core.u_txfifo.mem[5][6] ),
-    .A2(_08165_),
-    .B1(_08160_),
-    .B2(_08167_),
+ sky130_fd_sc_hd__a22o_1 _11937_ (.A1(\u_uart_core.u_txfifo.mem[5][6] ),
+    .A2(_07512_),
+    .B1(net38),
+    .B2(_07514_),
     .X(_01337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12841_ (.A1(\u_uart_core.u_txfifo.mem[5][5] ),
-    .A2(_08165_),
-    .B1(_08161_),
-    .B2(_08167_),
+ sky130_fd_sc_hd__a22o_1 _11938_ (.A1(\u_uart_core.u_txfifo.mem[5][5] ),
+    .A2(_07512_),
+    .B1(net37),
+    .B2(_07514_),
     .X(_01336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12842_ (.A1(\u_uart_core.u_txfifo.mem[5][4] ),
-    .A2(_08165_),
-    .B1(_08152_),
-    .B2(_08167_),
+ sky130_fd_sc_hd__a22o_1 _11939_ (.A1(\u_uart_core.u_txfifo.mem[5][4] ),
+    .A2(_07512_),
+    .B1(net36),
+    .B2(_07514_),
     .X(_01335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12843_ (.A(_08164_),
-    .X(_08168_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11940_ (.A(_07511_),
+    .X(_07515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12844_ (.A(_08166_),
-    .X(_08169_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11941_ (.A(_07513_),
+    .X(_07516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12845_ (.A1(\u_uart_core.u_txfifo.mem[5][3] ),
-    .A2(_08168_),
-    .B1(_08143_),
-    .B2(_08169_),
+ sky130_fd_sc_hd__a22o_1 _11942_ (.A1(\u_uart_core.u_txfifo.mem[5][3] ),
+    .A2(_07515_),
+    .B1(net35),
+    .B2(_07516_),
     .X(_01334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12846_ (.A1(\u_uart_core.u_txfifo.mem[5][2] ),
-    .A2(_08168_),
-    .B1(_08145_),
-    .B2(_08169_),
+ sky130_fd_sc_hd__a22o_1 _11943_ (.A1(\u_uart_core.u_txfifo.mem[5][2] ),
+    .A2(_07515_),
+    .B1(net32),
+    .B2(_07516_),
     .X(_01333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12847_ (.A1(\u_uart_core.u_txfifo.mem[5][1] ),
-    .A2(_08168_),
-    .B1(_08146_),
-    .B2(_08169_),
+ sky130_fd_sc_hd__a22o_1 _11944_ (.A1(\u_uart_core.u_txfifo.mem[5][1] ),
+    .A2(_07515_),
+    .B1(net25),
+    .B2(_07516_),
     .X(_01332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12848_ (.A1(\u_uart_core.u_txfifo.mem[5][0] ),
-    .A2(_08168_),
-    .B1(_08147_),
-    .B2(_08169_),
+ sky130_fd_sc_hd__a22o_1 _11945_ (.A1(\u_uart_core.u_txfifo.mem[5][0] ),
+    .A2(_07515_),
+    .B1(net14),
+    .B2(_07516_),
     .X(_01331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12849_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+ sky130_fd_sc_hd__or4_2 _11946_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
     .B(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
-    .C(_07939_),
-    .D(_06758_),
-    .X(_08170_),
+    .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_07517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12850_ (.A(_07495_),
-    .B(_06745_),
+ sky130_fd_sc_hd__or4_1 _11947_ (.A(_07015_),
+    .B(_06395_),
     .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
     .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
-    .X(_08171_),
+    .X(_07518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12851_ (.A(_08171_),
-    .X(_08172_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11948_ (.A(_07518_),
+    .X(_07519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12852_ (.A(_08170_),
-    .B(_08172_),
-    .X(_08173_),
+ sky130_fd_sc_hd__or2_2 _11949_ (.A(_07517_),
+    .B(_07519_),
+    .X(_07520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12853_ (.A(_08173_),
-    .X(_08174_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11950_ (.A(_07520_),
+    .X(_07521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12854_ (.A(_08173_),
-    .Y(_08175_),
+ sky130_fd_sc_hd__inv_2 _11951_ (.A(_07520_),
+    .Y(_07522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12855_ (.A(_08175_),
-    .X(_08176_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11952_ (.A(_07522_),
+    .X(_07523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12856_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
-    .A2(_08174_),
-    .B1(_08038_),
-    .B2(_08176_),
+ sky130_fd_sc_hd__a22o_1 _11953_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
+    .A2(_07521_),
+    .B1(net87),
+    .B2(_07523_),
     .X(_01330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12857_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
-    .A2(_08174_),
-    .B1(_08041_),
-    .B2(_08176_),
+ sky130_fd_sc_hd__a22o_1 _11954_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
+    .A2(_07521_),
+    .B1(net88),
+    .B2(_07523_),
     .X(_01329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12858_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
-    .A2(_08174_),
-    .B1(_08042_),
-    .B2(_08176_),
+ sky130_fd_sc_hd__a22o_1 _11955_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
+    .A2(_07521_),
+    .B1(net89),
+    .B2(_07523_),
     .X(_01328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12859_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
-    .A2(_08174_),
-    .B1(_08043_),
-    .B2(_08176_),
+ sky130_fd_sc_hd__a22o_1 _11956_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
+    .A2(_07521_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07523_),
     .X(_01327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12860_ (.A(_08173_),
-    .X(_08177_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11957_ (.A(_07520_),
+    .X(_07524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12861_ (.A(_08175_),
-    .X(_08178_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11958_ (.A(_07522_),
+    .X(_07525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12862_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
-    .A2(_08177_),
-    .B1(_08045_),
-    .B2(_08178_),
+ sky130_fd_sc_hd__a22o_1 _11959_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
+    .A2(_07524_),
+    .B1(net90),
+    .B2(_07525_),
     .X(_01326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12863_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
-    .A2(_08177_),
-    .B1(_08047_),
-    .B2(_08178_),
+ sky130_fd_sc_hd__a22o_1 _11960_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
+    .A2(_07524_),
+    .B1(net91),
+    .B2(_07525_),
     .X(_01325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12864_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
-    .A2(_08177_),
-    .B1(_08048_),
-    .B2(_08178_),
+ sky130_fd_sc_hd__a22o_1 _11961_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
+    .A2(_07524_),
+    .B1(net92),
+    .B2(_07525_),
     .X(_01324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12865_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
-    .A2(_08177_),
-    .B1(_08049_),
-    .B2(_08178_),
+ sky130_fd_sc_hd__a22o_1 _11962_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
+    .A2(_07524_),
+    .B1(net93),
+    .B2(_07525_),
     .X(_01323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12866_ (.A(_07962_),
-    .B(_07974_),
-    .C(_06751_),
-    .D(_06754_),
-    .X(_08179_),
+ sky130_fd_sc_hd__or4_2 _11963_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .B(_07370_),
+    .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_07526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12867_ (.A(_08172_),
-    .B(_08179_),
-    .X(_08180_),
+ sky130_fd_sc_hd__or2_2 _11964_ (.A(_07519_),
+    .B(_07526_),
+    .X(_07527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12868_ (.A(_08180_),
-    .X(_08181_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11965_ (.A(_07527_),
+    .X(_07528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12869_ (.A(_08180_),
-    .Y(_08182_),
+ sky130_fd_sc_hd__inv_2 _11966_ (.A(_07527_),
+    .Y(_07529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12870_ (.A(_08182_),
-    .X(_08183_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11967_ (.A(_07529_),
+    .X(_07530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12871_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
-    .A2(_08181_),
-    .B1(_08038_),
-    .B2(_08183_),
+ sky130_fd_sc_hd__a22o_1 _11968_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
+    .A2(_07528_),
+    .B1(net87),
+    .B2(_07530_),
     .X(_01322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12872_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
-    .A2(_08181_),
-    .B1(_08041_),
-    .B2(_08183_),
+ sky130_fd_sc_hd__a22o_1 _11969_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
+    .A2(_07528_),
+    .B1(net88),
+    .B2(_07530_),
     .X(_01321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12873_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
-    .A2(_08181_),
-    .B1(_08042_),
-    .B2(_08183_),
+ sky130_fd_sc_hd__a22o_1 _11970_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
+    .A2(_07528_),
+    .B1(net89),
+    .B2(_07530_),
     .X(_01320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12874_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
-    .A2(_08181_),
-    .B1(_08043_),
-    .B2(_08183_),
+ sky130_fd_sc_hd__a22o_1 _11971_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
+    .A2(_07528_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07530_),
     .X(_01319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12875_ (.A(_08180_),
-    .X(_08184_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11972_ (.A(_07527_),
+    .X(_07531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12876_ (.A(_08182_),
-    .X(_08185_),
+ sky130_fd_sc_hd__clkbuf_1 _11973_ (.A(_07529_),
+    .X(_07532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12877_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
-    .A2(_08184_),
-    .B1(_08045_),
-    .B2(_08185_),
+ sky130_fd_sc_hd__a22o_1 _11974_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
+    .A2(_07531_),
+    .B1(net90),
+    .B2(_07532_),
     .X(_01318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12878_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
-    .A2(_08184_),
-    .B1(_08047_),
-    .B2(_08185_),
+ sky130_fd_sc_hd__a22o_1 _11975_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
+    .A2(_07531_),
+    .B1(net91),
+    .B2(_07532_),
     .X(_01317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12879_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
-    .A2(_08184_),
-    .B1(_08048_),
-    .B2(_08185_),
+ sky130_fd_sc_hd__a22o_1 _11976_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
+    .A2(_07531_),
+    .B1(net92),
+    .B2(_07532_),
     .X(_01316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12880_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
-    .A2(_08184_),
-    .B1(_08049_),
-    .B2(_08185_),
+ sky130_fd_sc_hd__a22o_1 _11977_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
+    .A2(_07531_),
+    .B1(net93),
+    .B2(_07532_),
     .X(_01315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12881_ (.A(_06764_),
-    .B(_07963_),
-    .C(_06751_),
-    .D(_07965_),
-    .X(_08186_),
+ sky130_fd_sc_hd__or4_2 _11978_ (.A(_06410_),
+    .B(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .C(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_07533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _12882_ (.A(_08172_),
-    .B(_08186_),
-    .X(_08187_),
+ sky130_fd_sc_hd__or2_4 _11979_ (.A(_07519_),
+    .B(_07533_),
+    .X(_07534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12883_ (.A(_08187_),
-    .X(_08188_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11980_ (.A(_07534_),
+    .X(_07535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12884_ (.A(_07986_),
-    .X(_08189_),
+ sky130_fd_sc_hd__inv_2 _11981_ (.A(_07534_),
+    .Y(_07536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12885_ (.A(_08187_),
-    .Y(_08190_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11982_ (.A(_07536_),
+    .X(_07537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12886_ (.A(_08190_),
-    .X(_08191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12887_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
-    .A2(_08188_),
-    .B1(_08189_),
-    .B2(_08191_),
+ sky130_fd_sc_hd__a22o_1 _11983_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
+    .A2(_07535_),
+    .B1(net87),
+    .B2(_07537_),
     .X(_01314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12888_ (.A(_07991_),
-    .X(_08192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12889_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
-    .A2(_08188_),
-    .B1(_08192_),
-    .B2(_08191_),
+ sky130_fd_sc_hd__a22o_1 _11984_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
+    .A2(_07535_),
+    .B1(net88),
+    .B2(_07537_),
     .X(_01313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12890_ (.A(_07994_),
-    .X(_08193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12891_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
-    .A2(_08188_),
-    .B1(_08193_),
-    .B2(_08191_),
+ sky130_fd_sc_hd__a22o_1 _11985_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
+    .A2(_07535_),
+    .B1(net89),
+    .B2(_07537_),
     .X(_01312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12892_ (.A(_07997_),
-    .X(_08194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12893_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
-    .A2(_08188_),
-    .B1(_08194_),
-    .B2(_08191_),
+ sky130_fd_sc_hd__a22o_1 _11986_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
+    .A2(_07535_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07537_),
     .X(_01311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12894_ (.A(_08187_),
-    .X(_08195_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11987_ (.A(_07534_),
+    .X(_07538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12895_ (.A(_08001_),
-    .X(_08196_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11988_ (.A(_07536_),
+    .X(_07539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12896_ (.A(_08190_),
-    .X(_08197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12897_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
-    .A2(_08195_),
-    .B1(_08196_),
-    .B2(_08197_),
+ sky130_fd_sc_hd__a22o_1 _11989_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
+    .A2(_07538_),
+    .B1(net90),
+    .B2(_07539_),
     .X(_01310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12898_ (.A(_08005_),
-    .X(_08198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12899_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
-    .A2(_08195_),
-    .B1(_08198_),
-    .B2(_08197_),
+ sky130_fd_sc_hd__a22o_1 _11990_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
+    .A2(_07538_),
+    .B1(net91),
+    .B2(_07539_),
     .X(_01309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12900_ (.A(_08008_),
-    .X(_08199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12901_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
-    .A2(_08195_),
-    .B1(_08199_),
-    .B2(_08197_),
+ sky130_fd_sc_hd__a22o_1 _11991_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
+    .A2(_07538_),
+    .B1(net92),
+    .B2(_07539_),
     .X(_01308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12902_ (.A(_08011_),
-    .X(_08200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12903_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
-    .A2(_08195_),
-    .B1(_08200_),
-    .B2(_08197_),
+ sky130_fd_sc_hd__a22o_1 _11992_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
+    .A2(_07538_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07539_),
     .X(_01307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12904_ (.A(_08028_),
-    .B(_08172_),
-    .X(_08201_),
+ sky130_fd_sc_hd__or2_2 _11993_ (.A(_07400_),
+    .B(_07519_),
+    .X(_07540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12905_ (.A(_08201_),
-    .X(_08202_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11994_ (.A(_07540_),
+    .X(_07541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12906_ (.A(_08201_),
-    .Y(_08203_),
+ sky130_fd_sc_hd__inv_2 _11995_ (.A(_07540_),
+    .Y(_07542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12907_ (.A(_08203_),
-    .X(_08204_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11996_ (.A(_07542_),
+    .X(_07543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12908_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ),
-    .A2(_08202_),
-    .B1(_08189_),
-    .B2(_08204_),
+ sky130_fd_sc_hd__a22o_1 _11997_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ),
+    .A2(_07541_),
+    .B1(net87),
+    .B2(_07543_),
     .X(_01306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12909_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ),
-    .A2(_08202_),
-    .B1(_08192_),
-    .B2(_08204_),
+ sky130_fd_sc_hd__a22o_1 _11998_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ),
+    .A2(_07541_),
+    .B1(net88),
+    .B2(_07543_),
     .X(_01305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12910_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ),
-    .A2(_08202_),
-    .B1(_08193_),
-    .B2(_08204_),
+ sky130_fd_sc_hd__a22o_1 _11999_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ),
+    .A2(_07541_),
+    .B1(net89),
+    .B2(_07543_),
     .X(_01304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12911_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ),
-    .A2(_08202_),
-    .B1(_08194_),
-    .B2(_08204_),
+ sky130_fd_sc_hd__a22o_1 _12000_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ),
+    .A2(_07541_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07543_),
     .X(_01303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12912_ (.A(_08201_),
-    .X(_08205_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12001_ (.A(_07540_),
+    .X(_07544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12913_ (.A(_08203_),
-    .X(_08206_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12002_ (.A(_07542_),
+    .X(_07545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12914_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ),
-    .A2(_08205_),
-    .B1(_08196_),
-    .B2(_08206_),
+ sky130_fd_sc_hd__a22o_1 _12003_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ),
+    .A2(_07544_),
+    .B1(net90),
+    .B2(_07545_),
     .X(_01302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12915_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ),
-    .A2(_08205_),
-    .B1(_08198_),
-    .B2(_08206_),
+ sky130_fd_sc_hd__a22o_1 _12004_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ),
+    .A2(_07544_),
+    .B1(net91),
+    .B2(_07545_),
     .X(_01301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12916_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ),
-    .A2(_08205_),
-    .B1(_08199_),
-    .B2(_08206_),
+ sky130_fd_sc_hd__a22o_1 _12005_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ),
+    .A2(_07544_),
+    .B1(net92),
+    .B2(_07545_),
     .X(_01300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12917_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
-    .A2(_08205_),
-    .B1(_08200_),
-    .B2(_08206_),
+ sky130_fd_sc_hd__a22o_1 _12006_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
+    .A2(_07544_),
+    .B1(net93),
+    .B2(_07545_),
     .X(_01299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12918_ (.A(_08171_),
-    .X(_08207_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12007_ (.A(_07518_),
+    .X(_07546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12919_ (.A(_08035_),
-    .B(_08207_),
-    .X(_08208_),
+ sky130_fd_sc_hd__or2_2 _12008_ (.A(_07407_),
+    .B(_07546_),
+    .X(_07547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12920_ (.A(_08208_),
-    .X(_08209_),
+ sky130_fd_sc_hd__clkbuf_1 _12009_ (.A(_07547_),
+    .X(_07548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12921_ (.A(_08208_),
-    .Y(_08210_),
+ sky130_fd_sc_hd__inv_2 _12010_ (.A(_07547_),
+    .Y(_07549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12922_ (.A(_08210_),
-    .X(_08211_),
+ sky130_fd_sc_hd__clkbuf_1 _12011_ (.A(_07549_),
+    .X(_07550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12923_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
-    .A2(_08209_),
-    .B1(_08189_),
-    .B2(_08211_),
+ sky130_fd_sc_hd__a22o_1 _12012_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
+    .A2(_07548_),
+    .B1(net87),
+    .B2(_07550_),
     .X(_01298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12924_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
-    .A2(_08209_),
-    .B1(_08192_),
-    .B2(_08211_),
+ sky130_fd_sc_hd__a22o_1 _12013_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
+    .A2(_07548_),
+    .B1(net88),
+    .B2(_07550_),
     .X(_01297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12925_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
-    .A2(_08209_),
-    .B1(_08193_),
-    .B2(_08211_),
+ sky130_fd_sc_hd__a22o_1 _12014_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
+    .A2(_07548_),
+    .B1(net89),
+    .B2(_07550_),
     .X(_01296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12926_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
-    .A2(_08209_),
-    .B1(_08194_),
-    .B2(_08211_),
+ sky130_fd_sc_hd__a22o_1 _12015_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
+    .A2(_07548_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07550_),
     .X(_01295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12927_ (.A(_08208_),
-    .X(_08212_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12016_ (.A(_07547_),
+    .X(_07551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12928_ (.A(_08210_),
-    .X(_08213_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12017_ (.A(_07549_),
+    .X(_07552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12929_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
-    .A2(_08212_),
-    .B1(_08196_),
-    .B2(_08213_),
+ sky130_fd_sc_hd__a22o_1 _12018_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
+    .A2(_07551_),
+    .B1(net90),
+    .B2(_07552_),
     .X(_01294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12930_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
-    .A2(_08212_),
-    .B1(_08198_),
-    .B2(_08213_),
+ sky130_fd_sc_hd__a22o_1 _12019_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
+    .A2(_07551_),
+    .B1(net91),
+    .B2(_07552_),
     .X(_01293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12931_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
-    .A2(_08212_),
-    .B1(_08199_),
-    .B2(_08213_),
+ sky130_fd_sc_hd__a22o_1 _12020_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
+    .A2(_07551_),
+    .B1(net92),
+    .B2(_07552_),
     .X(_01292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12932_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
-    .A2(_08212_),
-    .B1(_08200_),
-    .B2(_08213_),
+ sky130_fd_sc_hd__a22o_1 _12021_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
+    .A2(_07551_),
+    .B1(net93),
+    .B2(_07552_),
     .X(_01291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12933_ (.A(_08050_),
-    .B(_08207_),
-    .X(_08214_),
+ sky130_fd_sc_hd__or2_2 _12022_ (.A(_07414_),
+    .B(_07546_),
+    .X(_07553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12934_ (.A(_08214_),
-    .X(_08215_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12023_ (.A(_07553_),
+    .X(_07554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12935_ (.A(_08214_),
-    .Y(_08216_),
+ sky130_fd_sc_hd__inv_2 _12024_ (.A(_07553_),
+    .Y(_07555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12936_ (.A(_08216_),
-    .X(_08217_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12025_ (.A(_07555_),
+    .X(_07556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12937_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
-    .A2(_08215_),
-    .B1(_08189_),
-    .B2(_08217_),
+ sky130_fd_sc_hd__a22o_1 _12026_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
+    .A2(_07554_),
+    .B1(net87),
+    .B2(_07556_),
     .X(_01290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12938_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
-    .A2(_08215_),
-    .B1(_08192_),
-    .B2(_08217_),
+ sky130_fd_sc_hd__a22o_1 _12027_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
+    .A2(_07554_),
+    .B1(net88),
+    .B2(_07556_),
     .X(_01289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12939_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
-    .A2(_08215_),
-    .B1(_08193_),
-    .B2(_08217_),
+ sky130_fd_sc_hd__a22o_1 _12028_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
+    .A2(_07554_),
+    .B1(net89),
+    .B2(_07556_),
     .X(_01288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12940_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
-    .A2(_08215_),
-    .B1(_08194_),
-    .B2(_08217_),
+ sky130_fd_sc_hd__a22o_1 _12029_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
+    .A2(_07554_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07556_),
     .X(_01287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12941_ (.A(_08214_),
-    .X(_08218_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12030_ (.A(_07553_),
+    .X(_07557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12942_ (.A(_08216_),
-    .X(_08219_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12031_ (.A(_07555_),
+    .X(_07558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12943_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
-    .A2(_08218_),
-    .B1(_08196_),
-    .B2(_08219_),
+ sky130_fd_sc_hd__a22o_1 _12032_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
+    .A2(_07557_),
+    .B1(net90),
+    .B2(_07558_),
     .X(_01286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12944_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
-    .A2(_08218_),
-    .B1(_08198_),
-    .B2(_08219_),
+ sky130_fd_sc_hd__a22o_1 _12033_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
+    .A2(_07557_),
+    .B1(net91),
+    .B2(_07558_),
     .X(_01285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12945_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
-    .A2(_08218_),
-    .B1(_08199_),
-    .B2(_08219_),
+ sky130_fd_sc_hd__a22o_1 _12034_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
+    .A2(_07557_),
+    .B1(net92),
+    .B2(_07558_),
     .X(_01284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12946_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
-    .A2(_08218_),
-    .B1(_08200_),
-    .B2(_08219_),
+ sky130_fd_sc_hd__a22o_1 _12035_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
+    .A2(_07557_),
+    .B1(net93),
+    .B2(_07558_),
     .X(_01283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12947_ (.A(_07940_),
-    .B(_08207_),
-    .X(_08220_),
+ sky130_fd_sc_hd__or2_2 _12036_ (.A(_07347_),
+    .B(_07546_),
+    .X(_07559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12948_ (.A(_08220_),
-    .X(_08221_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12037_ (.A(_07559_),
+    .X(_07560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12949_ (.A(_07986_),
-    .X(_08222_),
+ sky130_fd_sc_hd__inv_2 _12038_ (.A(_07559_),
+    .Y(_07561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12950_ (.A(_08220_),
-    .Y(_08223_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12039_ (.A(_07561_),
+    .X(_07562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12951_ (.A(_08223_),
-    .X(_08224_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12952_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ),
-    .A2(_08221_),
-    .B1(_08222_),
-    .B2(_08224_),
+ sky130_fd_sc_hd__a22o_1 _12040_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ),
+    .A2(_07560_),
+    .B1(net87),
+    .B2(_07562_),
     .X(_01282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12953_ (.A(_07991_),
-    .X(_08225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12954_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ),
-    .A2(_08221_),
-    .B1(_08225_),
-    .B2(_08224_),
+ sky130_fd_sc_hd__a22o_1 _12041_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ),
+    .A2(_07560_),
+    .B1(net88),
+    .B2(_07562_),
     .X(_01281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12955_ (.A(_07994_),
-    .X(_08226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12956_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ),
-    .A2(_08221_),
-    .B1(_08226_),
-    .B2(_08224_),
+ sky130_fd_sc_hd__a22o_1 _12042_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ),
+    .A2(_07560_),
+    .B1(net89),
+    .B2(_07562_),
     .X(_01280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12957_ (.A(_07997_),
-    .X(_08227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12958_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ),
-    .A2(_08221_),
-    .B1(_08227_),
-    .B2(_08224_),
+ sky130_fd_sc_hd__a22o_1 _12043_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ),
+    .A2(_07560_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07562_),
     .X(_01279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12959_ (.A(_08220_),
-    .X(_08228_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12044_ (.A(_07559_),
+    .X(_07563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12960_ (.A(_08001_),
-    .X(_08229_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12045_ (.A(_07561_),
+    .X(_07564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12961_ (.A(_08223_),
-    .X(_08230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12962_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ),
-    .A2(_08228_),
-    .B1(_08229_),
-    .B2(_08230_),
+ sky130_fd_sc_hd__a22o_1 _12046_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ),
+    .A2(_07563_),
+    .B1(net90),
+    .B2(_07564_),
     .X(_01278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12963_ (.A(_08005_),
-    .X(_08231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12964_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ),
-    .A2(_08228_),
-    .B1(_08231_),
-    .B2(_08230_),
+ sky130_fd_sc_hd__a22o_1 _12047_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ),
+    .A2(_07563_),
+    .B1(net91),
+    .B2(_07564_),
     .X(_01277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12965_ (.A(_08008_),
-    .X(_08232_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12966_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ),
-    .A2(_08228_),
-    .B1(_08232_),
-    .B2(_08230_),
+ sky130_fd_sc_hd__a22o_1 _12048_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ),
+    .A2(_07563_),
+    .B1(net92),
+    .B2(_07564_),
     .X(_01276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12967_ (.A(_08011_),
-    .X(_08233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12968_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ),
-    .A2(_08228_),
-    .B1(_08233_),
-    .B2(_08230_),
+ sky130_fd_sc_hd__a22o_1 _12049_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ),
+    .A2(_07563_),
+    .B1(net93),
+    .B2(_07564_),
     .X(_01275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12969_ (.A(_07955_),
-    .B(_08207_),
-    .X(_08234_),
+ sky130_fd_sc_hd__or2_2 _12050_ (.A(_07354_),
+    .B(_07546_),
+    .X(_07565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12970_ (.A(_08234_),
-    .X(_08235_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12051_ (.A(_07565_),
+    .X(_07566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12971_ (.A(_08234_),
-    .Y(_08236_),
+ sky130_fd_sc_hd__inv_2 _12052_ (.A(_07565_),
+    .Y(_07567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12972_ (.A(_08236_),
-    .X(_08237_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12053_ (.A(_07567_),
+    .X(_07568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12973_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ),
-    .A2(_08235_),
-    .B1(_08222_),
-    .B2(_08237_),
+ sky130_fd_sc_hd__a22o_1 _12054_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ),
+    .A2(_07566_),
+    .B1(net87),
+    .B2(_07568_),
     .X(_01274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12974_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ),
-    .A2(_08235_),
-    .B1(_08225_),
-    .B2(_08237_),
+ sky130_fd_sc_hd__a22o_1 _12055_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ),
+    .A2(_07566_),
+    .B1(net88),
+    .B2(_07568_),
     .X(_01273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12975_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ),
-    .A2(_08235_),
-    .B1(_08226_),
-    .B2(_08237_),
+ sky130_fd_sc_hd__a22o_1 _12056_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ),
+    .A2(_07566_),
+    .B1(net89),
+    .B2(_07568_),
     .X(_01272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12976_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ),
-    .A2(_08235_),
-    .B1(_08227_),
-    .B2(_08237_),
+ sky130_fd_sc_hd__a22o_1 _12057_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ),
+    .A2(_07566_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07568_),
     .X(_01271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12977_ (.A(_08234_),
-    .X(_08238_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12058_ (.A(_07565_),
+    .X(_07569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12978_ (.A(_08236_),
-    .X(_08239_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12059_ (.A(_07567_),
+    .X(_07570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12979_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ),
-    .A2(_08238_),
-    .B1(_08229_),
-    .B2(_08239_),
+ sky130_fd_sc_hd__a22o_1 _12060_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ),
+    .A2(_07569_),
+    .B1(net90),
+    .B2(_07570_),
     .X(_01270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12980_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ),
-    .A2(_08238_),
-    .B1(_08231_),
-    .B2(_08239_),
+ sky130_fd_sc_hd__a22o_1 _12061_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ),
+    .A2(_07569_),
+    .B1(net91),
+    .B2(_07570_),
     .X(_01269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12981_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ),
-    .A2(_08238_),
-    .B1(_08232_),
-    .B2(_08239_),
+ sky130_fd_sc_hd__a22o_1 _12062_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ),
+    .A2(_07569_),
+    .B1(net92),
+    .B2(_07570_),
     .X(_01268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12982_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ),
-    .A2(_08238_),
-    .B1(_08233_),
-    .B2(_08239_),
+ sky130_fd_sc_hd__a22o_1 _12063_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ),
+    .A2(_07569_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07570_),
     .X(_01267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _12983_ (.A(_08171_),
-    .X(_08240_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12064_ (.A(_07518_),
+    .X(_07571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12984_ (.A(_07966_),
-    .B(_08240_),
-    .X(_08241_),
+ sky130_fd_sc_hd__or2_2 _12065_ (.A(_07362_),
+    .B(_07571_),
+    .X(_07572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12985_ (.A(_08241_),
-    .X(_08242_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12066_ (.A(_07572_),
+    .X(_07573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12986_ (.A(_08241_),
-    .Y(_08243_),
+ sky130_fd_sc_hd__inv_2 _12067_ (.A(_07572_),
+    .Y(_07574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12987_ (.A(_08243_),
-    .X(_08244_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12068_ (.A(_07574_),
+    .X(_07575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12988_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
-    .A2(_08242_),
-    .B1(_08222_),
-    .B2(_08244_),
+ sky130_fd_sc_hd__a22o_1 _12069_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
+    .A2(_07573_),
+    .B1(net87),
+    .B2(_07575_),
     .X(_01266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12989_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
-    .A2(_08242_),
-    .B1(_08225_),
-    .B2(_08244_),
+ sky130_fd_sc_hd__a22o_1 _12070_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
+    .A2(_07573_),
+    .B1(net88),
+    .B2(_07575_),
     .X(_01265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12990_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
-    .A2(_08242_),
-    .B1(_08226_),
-    .B2(_08244_),
+ sky130_fd_sc_hd__a22o_1 _12071_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
+    .A2(_07573_),
+    .B1(net89),
+    .B2(_07575_),
     .X(_01264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12991_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
-    .A2(_08242_),
-    .B1(_08227_),
-    .B2(_08244_),
+ sky130_fd_sc_hd__a22o_1 _12072_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
+    .A2(_07573_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07575_),
     .X(_01263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12992_ (.A(_08241_),
-    .X(_08245_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12073_ (.A(_07572_),
+    .X(_07576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _12993_ (.A(_08243_),
-    .X(_08246_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12074_ (.A(_07574_),
+    .X(_07577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12994_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
-    .A2(_08245_),
-    .B1(_08229_),
-    .B2(_08246_),
+ sky130_fd_sc_hd__a22o_1 _12075_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
+    .A2(_07576_),
+    .B1(net90),
+    .B2(_07577_),
     .X(_01262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12995_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
-    .A2(_08245_),
-    .B1(_08231_),
-    .B2(_08246_),
+ sky130_fd_sc_hd__a22o_1 _12076_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
+    .A2(_07576_),
+    .B1(net91),
+    .B2(_07577_),
     .X(_01261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12996_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
-    .A2(_08245_),
-    .B1(_08232_),
-    .B2(_08246_),
+ sky130_fd_sc_hd__a22o_1 _12077_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
+    .A2(_07576_),
+    .B1(net92),
+    .B2(_07577_),
     .X(_01260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _12997_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
-    .A2(_08245_),
-    .B1(_08233_),
-    .B2(_08246_),
+ sky130_fd_sc_hd__a22o_1 _12078_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
+    .A2(_07576_),
+    .B1(net93),
+    .B2(_07577_),
     .X(_01259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _12998_ (.A(_07975_),
-    .B(_08240_),
-    .X(_08247_),
+ sky130_fd_sc_hd__or2_1 _12079_ (.A(_07371_),
+    .B(_07571_),
+    .X(_07578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12999_ (.A(_08247_),
-    .X(_08248_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12080_ (.A(_07578_),
+    .X(_07579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13000_ (.A(_08247_),
-    .Y(_08249_),
+ sky130_fd_sc_hd__inv_2 _12081_ (.A(_07578_),
+    .Y(_07580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13001_ (.A(_08249_),
-    .X(_08250_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12082_ (.A(_07580_),
+    .X(_07581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13002_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
-    .A2(_08248_),
-    .B1(_08222_),
-    .B2(_08250_),
+ sky130_fd_sc_hd__a22o_1 _12083_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
+    .A2(_07579_),
+    .B1(net87),
+    .B2(_07581_),
     .X(_01258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13003_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
-    .A2(_08248_),
-    .B1(_08225_),
-    .B2(_08250_),
+ sky130_fd_sc_hd__a22o_1 _12084_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
+    .A2(_07579_),
+    .B1(net88),
+    .B2(_07581_),
     .X(_01257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13004_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
-    .A2(_08248_),
-    .B1(_08226_),
-    .B2(_08250_),
+ sky130_fd_sc_hd__a22o_1 _12085_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
+    .A2(_07579_),
+    .B1(net89),
+    .B2(_07581_),
     .X(_01256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13005_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
-    .A2(_08248_),
-    .B1(_08227_),
-    .B2(_08250_),
+ sky130_fd_sc_hd__a22o_1 _12086_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
+    .A2(_07579_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07581_),
     .X(_01255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13006_ (.A(_08247_),
-    .X(_08251_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12087_ (.A(_07578_),
+    .X(_07582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13007_ (.A(_08249_),
-    .X(_08252_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12088_ (.A(_07580_),
+    .X(_07583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13008_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
-    .A2(_08251_),
-    .B1(_08229_),
-    .B2(_08252_),
+ sky130_fd_sc_hd__a22o_1 _12089_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
+    .A2(_07582_),
+    .B1(net90),
+    .B2(_07583_),
     .X(_01254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13009_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
-    .A2(_08251_),
-    .B1(_08231_),
-    .B2(_08252_),
+ sky130_fd_sc_hd__a22o_1 _12090_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
+    .A2(_07582_),
+    .B1(net91),
+    .B2(_07583_),
     .X(_01253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13010_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
-    .A2(_08251_),
-    .B1(_08232_),
-    .B2(_08252_),
+ sky130_fd_sc_hd__a22o_1 _12091_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
+    .A2(_07582_),
+    .B1(net92),
+    .B2(_07583_),
     .X(_01252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13011_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
-    .A2(_08251_),
-    .B1(_08233_),
-    .B2(_08252_),
+ sky130_fd_sc_hd__a22o_1 _12092_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
+    .A2(_07582_),
+    .B1(net93),
+    .B2(_07583_),
     .X(_01251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13012_ (.A(_07982_),
-    .B(_08240_),
-    .X(_08253_),
+ sky130_fd_sc_hd__or2_2 _12093_ (.A(_07378_),
+    .B(_07571_),
+    .X(_07584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13013_ (.A(_08253_),
-    .X(_08254_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12094_ (.A(_07584_),
+    .X(_07585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13014_ (.A(_07985_),
-    .X(_08255_),
+ sky130_fd_sc_hd__inv_2 _12095_ (.A(_07584_),
+    .Y(_07586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13015_ (.A(_08255_),
-    .X(_08256_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12096_ (.A(_07586_),
+    .X(_07587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13016_ (.A(_08253_),
-    .Y(_08257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13017_ (.A(_08257_),
-    .X(_08258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13018_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
-    .A2(_08254_),
-    .B1(_08256_),
-    .B2(_08258_),
+ sky130_fd_sc_hd__a22o_1 _12097_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
+    .A2(_07585_),
+    .B1(net87),
+    .B2(_07587_),
     .X(_01250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13019_ (.A(_07990_),
-    .X(_08259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13020_ (.A(_08259_),
-    .X(_08260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13021_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
-    .A2(_08254_),
-    .B1(_08260_),
-    .B2(_08258_),
+ sky130_fd_sc_hd__a22o_1 _12098_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
+    .A2(_07585_),
+    .B1(net88),
+    .B2(_07587_),
     .X(_01249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13022_ (.A(_07993_),
-    .X(_08261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13023_ (.A(_08261_),
-    .X(_08262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13024_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
-    .A2(_08254_),
-    .B1(_08262_),
-    .B2(_08258_),
+ sky130_fd_sc_hd__a22o_1 _12099_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
+    .A2(_07585_),
+    .B1(net89),
+    .B2(_07587_),
     .X(_01248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13025_ (.A(_07996_),
-    .X(_08263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13026_ (.A(_08263_),
-    .X(_08264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13027_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
-    .A2(_08254_),
-    .B1(_08264_),
-    .B2(_08258_),
+ sky130_fd_sc_hd__a22o_1 _12100_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
+    .A2(_07585_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07587_),
     .X(_01247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13028_ (.A(_08253_),
-    .X(_08265_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12101_ (.A(_07584_),
+    .X(_07588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13029_ (.A(_08000_),
-    .X(_08266_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12102_ (.A(_07586_),
+    .X(_07589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13030_ (.A(_08266_),
-    .X(_08267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13031_ (.A(_08257_),
-    .X(_08268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13032_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
-    .A2(_08265_),
-    .B1(_08267_),
-    .B2(_08268_),
+ sky130_fd_sc_hd__a22o_1 _12103_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
+    .A2(_07588_),
+    .B1(net90),
+    .B2(_07589_),
     .X(_01246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13033_ (.A(_08004_),
-    .X(_08269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13034_ (.A(_08269_),
-    .X(_08270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13035_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
-    .A2(_08265_),
-    .B1(_08270_),
-    .B2(_08268_),
+ sky130_fd_sc_hd__a22o_1 _12104_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
+    .A2(_07588_),
+    .B1(net91),
+    .B2(_07589_),
     .X(_01245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13036_ (.A(_08007_),
-    .X(_08271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13037_ (.A(_08271_),
-    .X(_08272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13038_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
-    .A2(_08265_),
-    .B1(_08272_),
-    .B2(_08268_),
+ sky130_fd_sc_hd__a22o_1 _12105_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
+    .A2(_07588_),
+    .B1(net92),
+    .B2(_07589_),
     .X(_01244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13039_ (.A(_08010_),
-    .X(_08273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13040_ (.A(_08273_),
-    .X(_08274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13041_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
-    .A2(_08265_),
-    .B1(_08274_),
-    .B2(_08268_),
+ sky130_fd_sc_hd__a22o_1 _12106_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
+    .A2(_07588_),
+    .B1(net93),
+    .B2(_07589_),
     .X(_01243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13042_ (.A(_08013_),
-    .B(_08240_),
-    .X(_08275_),
+ sky130_fd_sc_hd__or2_2 _12107_ (.A(_07385_),
+    .B(_07571_),
+    .X(_07590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13043_ (.A(_08275_),
-    .X(_08276_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12108_ (.A(_07590_),
+    .X(_07591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13044_ (.A(_08275_),
-    .Y(_08277_),
+ sky130_fd_sc_hd__inv_2 _12109_ (.A(_07590_),
+    .Y(_07592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13045_ (.A(_08277_),
-    .X(_08278_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12110_ (.A(_07592_),
+    .X(_07593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13046_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
-    .A2(_08276_),
-    .B1(_08256_),
-    .B2(_08278_),
+ sky130_fd_sc_hd__a22o_1 _12111_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
+    .A2(_07591_),
+    .B1(net87),
+    .B2(_07593_),
     .X(_01242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13047_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
-    .A2(_08276_),
-    .B1(_08260_),
-    .B2(_08278_),
+ sky130_fd_sc_hd__a22o_1 _12112_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
+    .A2(_07591_),
+    .B1(net88),
+    .B2(_07593_),
     .X(_01241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13048_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
-    .A2(_08276_),
-    .B1(_08262_),
-    .B2(_08278_),
+ sky130_fd_sc_hd__a22o_1 _12113_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
+    .A2(_07591_),
+    .B1(net89),
+    .B2(_07593_),
     .X(_01240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13049_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
-    .A2(_08276_),
-    .B1(_08264_),
-    .B2(_08278_),
+ sky130_fd_sc_hd__a22o_1 _12114_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
+    .A2(_07591_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07593_),
     .X(_01239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13050_ (.A(_08275_),
-    .X(_08279_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12115_ (.A(_07590_),
+    .X(_07594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13051_ (.A(_08277_),
-    .X(_08280_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12116_ (.A(_07592_),
+    .X(_07595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13052_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
-    .A2(_08279_),
-    .B1(_08267_),
-    .B2(_08280_),
+ sky130_fd_sc_hd__a22o_1 _12117_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
+    .A2(_07594_),
+    .B1(net90),
+    .B2(_07595_),
     .X(_01238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13053_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
-    .A2(_08279_),
-    .B1(_08270_),
-    .B2(_08280_),
+ sky130_fd_sc_hd__a22o_1 _12118_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
+    .A2(_07594_),
+    .B1(net91),
+    .B2(_07595_),
     .X(_01237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13054_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
-    .A2(_08279_),
-    .B1(_08272_),
-    .B2(_08280_),
+ sky130_fd_sc_hd__a22o_1 _12119_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
+    .A2(_07594_),
+    .B1(net92),
+    .B2(_07595_),
     .X(_01236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13055_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
-    .A2(_08279_),
-    .B1(_08274_),
-    .B2(_08280_),
+ sky130_fd_sc_hd__a22o_1 _12120_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
+    .A2(_07594_),
+    .B1(net93),
+    .B2(_07595_),
     .X(_01235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13056_ (.A(_08171_),
-    .X(_08281_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12121_ (.A(_07518_),
+    .X(_07596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13057_ (.A(_08020_),
-    .B(_08281_),
-    .X(_08282_),
+ sky130_fd_sc_hd__or2_4 _12122_ (.A(_07392_),
+    .B(_07596_),
+    .X(_07597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13058_ (.A(_08282_),
-    .X(_08283_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12123_ (.A(_07597_),
+    .X(_07598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13059_ (.A(_08282_),
-    .Y(_08284_),
+ sky130_fd_sc_hd__inv_2 _12124_ (.A(_07597_),
+    .Y(_07599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13060_ (.A(_08284_),
-    .X(_08285_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12125_ (.A(_07599_),
+    .X(_07600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13061_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
-    .A2(_08283_),
-    .B1(_08256_),
-    .B2(_08285_),
+ sky130_fd_sc_hd__a22o_1 _12126_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
+    .A2(_07598_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+    .B2(_07600_),
     .X(_01234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13062_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
-    .A2(_08283_),
-    .B1(_08260_),
-    .B2(_08285_),
+ sky130_fd_sc_hd__a22o_1 _12127_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
+    .A2(_07598_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+    .B2(_07600_),
     .X(_01233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13063_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
-    .A2(_08283_),
-    .B1(_08262_),
-    .B2(_08285_),
+ sky130_fd_sc_hd__a22o_1 _12128_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
+    .A2(_07598_),
+    .B1(net89),
+    .B2(_07600_),
     .X(_01232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13064_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
-    .A2(_08283_),
-    .B1(_08264_),
-    .B2(_08285_),
+ sky130_fd_sc_hd__a22o_1 _12129_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
+    .A2(_07598_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07600_),
     .X(_01231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13065_ (.A(_08282_),
-    .X(_08286_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12130_ (.A(_07597_),
+    .X(_07601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13066_ (.A(_08284_),
-    .X(_08287_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12131_ (.A(_07599_),
+    .X(_07602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13067_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
-    .A2(_08286_),
-    .B1(_08267_),
-    .B2(_08287_),
+ sky130_fd_sc_hd__a22o_1 _12132_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
+    .A2(_07601_),
+    .B1(net90),
+    .B2(_07602_),
     .X(_01230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13068_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
-    .A2(_08286_),
-    .B1(_08270_),
-    .B2(_08287_),
+ sky130_fd_sc_hd__a22o_1 _12133_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
+    .A2(_07601_),
+    .B1(net91),
+    .B2(_07602_),
     .X(_01229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13069_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
-    .A2(_08286_),
-    .B1(_08272_),
-    .B2(_08287_),
+ sky130_fd_sc_hd__a22o_1 _12134_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
+    .A2(_07601_),
+    .B1(net92),
+    .B2(_07602_),
     .X(_01228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13070_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
-    .A2(_08286_),
-    .B1(_08274_),
-    .B2(_08287_),
+ sky130_fd_sc_hd__a22o_1 _12135_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
+    .A2(_07601_),
+    .B1(net93),
+    .B2(_07602_),
     .X(_01227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13071_ (.A(_07932_),
-    .B(_08281_),
-    .X(_08288_),
+ sky130_fd_sc_hd__or2_2 _12136_ (.A(_07340_),
+    .B(_07596_),
+    .X(_07603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13072_ (.A(_08288_),
-    .X(_08289_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12137_ (.A(_07603_),
+    .X(_07604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13073_ (.A(_08288_),
-    .Y(_08290_),
+ sky130_fd_sc_hd__inv_2 _12138_ (.A(_07603_),
+    .Y(_07605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13074_ (.A(_08290_),
-    .X(_08291_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12139_ (.A(_07605_),
+    .X(_07606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13075_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
-    .A2(_08289_),
-    .B1(_08256_),
-    .B2(_08291_),
+ sky130_fd_sc_hd__a22o_1 _12140_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
+    .A2(_07604_),
+    .B1(net87),
+    .B2(_07606_),
     .X(_01226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13076_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
-    .A2(_08289_),
-    .B1(_08260_),
-    .B2(_08291_),
+ sky130_fd_sc_hd__a22o_1 _12141_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
+    .A2(_07604_),
+    .B1(net88),
+    .B2(_07606_),
     .X(_01225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13077_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
-    .A2(_08289_),
-    .B1(_08262_),
-    .B2(_08291_),
+ sky130_fd_sc_hd__a22o_1 _12142_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
+    .A2(_07604_),
+    .B1(net89),
+    .B2(_07606_),
     .X(_01224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13078_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ),
-    .A2(_08289_),
-    .B1(_08264_),
-    .B2(_08291_),
+ sky130_fd_sc_hd__a22o_1 _12143_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ),
+    .A2(_07604_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07606_),
     .X(_01223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13079_ (.A(_08288_),
-    .X(_08292_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12144_ (.A(_07603_),
+    .X(_07607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13080_ (.A(_08290_),
-    .X(_08293_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12145_ (.A(_07605_),
+    .X(_07608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13081_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ),
-    .A2(_08292_),
-    .B1(_08267_),
-    .B2(_08293_),
+ sky130_fd_sc_hd__a22o_1 _12146_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ),
+    .A2(_07607_),
+    .B1(net90),
+    .B2(_07608_),
     .X(_01222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13082_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
-    .A2(_08292_),
-    .B1(_08270_),
-    .B2(_08293_),
+ sky130_fd_sc_hd__a22o_1 _12147_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
+    .A2(_07607_),
+    .B1(net91),
+    .B2(_07608_),
     .X(_01221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13083_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
-    .A2(_08292_),
-    .B1(_08272_),
-    .B2(_08293_),
+ sky130_fd_sc_hd__a22o_1 _12148_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
+    .A2(_07607_),
+    .B1(net92),
+    .B2(_07608_),
     .X(_01220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13084_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
-    .A2(_08292_),
-    .B1(_08274_),
-    .B2(_08293_),
+ sky130_fd_sc_hd__a22o_1 _12149_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
+    .A2(_07607_),
+    .B1(net93),
+    .B2(_07608_),
     .X(_01219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13085_ (.A(_07925_),
-    .B(_08281_),
-    .X(_08294_),
+ sky130_fd_sc_hd__or2_2 _12150_ (.A(_07333_),
+    .B(_07596_),
+    .X(_07609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13086_ (.A(_08294_),
-    .X(_08295_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12151_ (.A(_07609_),
+    .X(_07610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13087_ (.A(_08255_),
-    .X(_08296_),
+ sky130_fd_sc_hd__inv_2 _12152_ (.A(_07609_),
+    .Y(_07611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13088_ (.A(_08294_),
-    .Y(_08297_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12153_ (.A(_07611_),
+    .X(_07612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13089_ (.A(_08297_),
-    .X(_08298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13090_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
-    .A2(_08295_),
-    .B1(_08296_),
-    .B2(_08298_),
+ sky130_fd_sc_hd__a22o_1 _12154_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
+    .A2(_07610_),
+    .B1(net87),
+    .B2(_07612_),
     .X(_01218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13091_ (.A(_08259_),
-    .X(_08299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13092_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
-    .A2(_08295_),
-    .B1(_08299_),
-    .B2(_08298_),
+ sky130_fd_sc_hd__a22o_1 _12155_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
+    .A2(_07610_),
+    .B1(net88),
+    .B2(_07612_),
     .X(_01217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13093_ (.A(_08261_),
-    .X(_08300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13094_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
-    .A2(_08295_),
-    .B1(_08300_),
-    .B2(_08298_),
+ sky130_fd_sc_hd__a22o_1 _12156_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
+    .A2(_07610_),
+    .B1(net89),
+    .B2(_07612_),
     .X(_01216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13095_ (.A(_08263_),
-    .X(_08301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13096_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
-    .A2(_08295_),
-    .B1(_08301_),
-    .B2(_08298_),
+ sky130_fd_sc_hd__a22o_1 _12157_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
+    .A2(_07610_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07612_),
     .X(_01215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13097_ (.A(_08294_),
-    .X(_08302_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12158_ (.A(_07609_),
+    .X(_07613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13098_ (.A(_08266_),
-    .X(_08303_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12159_ (.A(_07611_),
+    .X(_07614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13099_ (.A(_08297_),
-    .X(_08304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13100_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
-    .A2(_08302_),
-    .B1(_08303_),
-    .B2(_08304_),
+ sky130_fd_sc_hd__a22o_1 _12160_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
+    .A2(_07613_),
+    .B1(net90),
+    .B2(_07614_),
     .X(_01214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13101_ (.A(_08269_),
-    .X(_08305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13102_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
-    .A2(_08302_),
-    .B1(_08305_),
-    .B2(_08304_),
+ sky130_fd_sc_hd__a22o_1 _12161_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
+    .A2(_07613_),
+    .B1(net91),
+    .B2(_07614_),
     .X(_01213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13103_ (.A(_08271_),
-    .X(_08306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13104_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
-    .A2(_08302_),
-    .B1(_08306_),
-    .B2(_08304_),
+ sky130_fd_sc_hd__a22o_1 _12162_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
+    .A2(_07613_),
+    .B1(net92),
+    .B2(_07614_),
     .X(_01212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13105_ (.A(_08273_),
-    .X(_08307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13106_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
-    .A2(_08302_),
-    .B1(_08307_),
-    .B2(_08304_),
+ sky130_fd_sc_hd__a22o_1 _12163_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
+    .A2(_07613_),
+    .B1(net93),
+    .B2(_07614_),
     .X(_01211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13107_ (.A(_06741_),
-    .B(_08281_),
-    .X(_08308_),
+ sky130_fd_sc_hd__or2_2 _12164_ (.A(_06391_),
+    .B(_07596_),
+    .X(_07615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13108_ (.A(_08308_),
-    .X(_08309_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12165_ (.A(_07615_),
+    .X(_07616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13109_ (.A(_08308_),
-    .Y(_08310_),
+ sky130_fd_sc_hd__inv_2 _12166_ (.A(_07615_),
+    .Y(_07617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13110_ (.A(_08310_),
-    .X(_08311_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12167_ (.A(_07617_),
+    .X(_07618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13111_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
-    .A2(_08309_),
-    .B1(_08296_),
-    .B2(_08311_),
+ sky130_fd_sc_hd__a22o_1 _12168_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
+    .A2(_07616_),
+    .B1(net87),
+    .B2(_07618_),
     .X(_01210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13112_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
-    .A2(_08309_),
-    .B1(_08299_),
-    .B2(_08311_),
+ sky130_fd_sc_hd__a22o_1 _12169_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
+    .A2(_07616_),
+    .B1(net88),
+    .B2(_07618_),
     .X(_01209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13113_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
-    .A2(_08309_),
-    .B1(_08300_),
-    .B2(_08311_),
+ sky130_fd_sc_hd__a22o_1 _12170_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
+    .A2(_07616_),
+    .B1(net89),
+    .B2(_07618_),
     .X(_01208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13114_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
-    .A2(_08309_),
-    .B1(_08301_),
-    .B2(_08311_),
+ sky130_fd_sc_hd__a22o_1 _12171_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
+    .A2(_07616_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07618_),
     .X(_01207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13115_ (.A(_08308_),
-    .X(_08312_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12172_ (.A(_07615_),
+    .X(_07619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13116_ (.A(_08310_),
-    .X(_08313_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12173_ (.A(_07617_),
+    .X(_07620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13117_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ),
-    .A2(_08312_),
-    .B1(_08303_),
-    .B2(_08313_),
+ sky130_fd_sc_hd__a22o_1 _12174_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ),
+    .A2(_07619_),
+    .B1(net90),
+    .B2(_07620_),
     .X(_01206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13118_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ),
-    .A2(_08312_),
-    .B1(_08305_),
-    .B2(_08313_),
+ sky130_fd_sc_hd__a22o_1 _12175_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ),
+    .A2(_07619_),
+    .B1(net91),
+    .B2(_07620_),
     .X(_01205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13119_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ),
-    .A2(_08312_),
-    .B1(_08306_),
-    .B2(_08313_),
+ sky130_fd_sc_hd__a22o_1 _12176_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ),
+    .A2(_07619_),
+    .B1(net92),
+    .B2(_07620_),
     .X(_01204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13120_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
-    .A2(_08312_),
-    .B1(_08307_),
-    .B2(_08313_),
+ sky130_fd_sc_hd__a22o_1 _12177_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
+    .A2(_07619_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07620_),
     .X(_01203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13121_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
-    .B(_06734_),
-    .C(_07322_),
-    .X(_08314_),
+ sky130_fd_sc_hd__or3_1 _12178_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
+    .B(_06384_),
+    .C(_06892_),
+    .X(_07621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13122_ (.A(_08314_),
-    .X(_08315_),
+ sky130_fd_sc_hd__clkbuf_2 _12179_ (.A(_07621_),
+    .X(_07622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13123_ (.A(_08315_),
-    .X(_08316_),
+ sky130_fd_sc_hd__clkbuf_1 _12180_ (.A(_07622_),
+    .X(_07623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13124_ (.A(_08170_),
-    .B(_08316_),
-    .C(_06748_),
-    .X(_08317_),
+ sky130_fd_sc_hd__or3_2 _12181_ (.A(_07517_),
+    .B(_07623_),
+    .C(_06398_),
+    .X(_07624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13125_ (.A(_08317_),
-    .X(_08318_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12182_ (.A(_07624_),
+    .X(_07625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13126_ (.A(_08317_),
-    .Y(_08319_),
+ sky130_fd_sc_hd__inv_2 _12183_ (.A(_07624_),
+    .Y(_07626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13127_ (.A(_08319_),
-    .X(_08320_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12184_ (.A(_07626_),
+    .X(_07627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13128_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
-    .A2(_08318_),
-    .B1(_08296_),
-    .B2(_08320_),
+ sky130_fd_sc_hd__a22o_1 _12185_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
+    .A2(_07625_),
+    .B1(net87),
+    .B2(_07627_),
     .X(_01202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13129_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
-    .A2(_08318_),
-    .B1(_08299_),
-    .B2(_08320_),
+ sky130_fd_sc_hd__a22o_1 _12186_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
+    .A2(_07625_),
+    .B1(net88),
+    .B2(_07627_),
     .X(_01201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13130_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
-    .A2(_08318_),
-    .B1(_08300_),
-    .B2(_08320_),
+ sky130_fd_sc_hd__a22o_1 _12187_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
+    .A2(_07625_),
+    .B1(net89),
+    .B2(_07627_),
     .X(_01200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13131_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
-    .A2(_08318_),
-    .B1(_08301_),
-    .B2(_08320_),
+ sky130_fd_sc_hd__a22o_1 _12188_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
+    .A2(_07625_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07627_),
     .X(_01199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13132_ (.A(_08317_),
-    .X(_08321_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12189_ (.A(_07624_),
+    .X(_07628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13133_ (.A(_08319_),
-    .X(_08322_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12190_ (.A(_07626_),
+    .X(_07629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13134_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
-    .A2(_08321_),
-    .B1(_08303_),
-    .B2(_08322_),
+ sky130_fd_sc_hd__a22o_1 _12191_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
+    .A2(_07628_),
+    .B1(net90),
+    .B2(_07629_),
     .X(_01198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13135_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
-    .A2(_08321_),
-    .B1(_08305_),
-    .B2(_08322_),
+ sky130_fd_sc_hd__a22o_1 _12192_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
+    .A2(_07628_),
+    .B1(net91),
+    .B2(_07629_),
     .X(_01197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13136_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
-    .A2(_08321_),
-    .B1(_08306_),
-    .B2(_08322_),
+ sky130_fd_sc_hd__a22o_1 _12193_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
+    .A2(_07628_),
+    .B1(net92),
+    .B2(_07629_),
     .X(_01196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13137_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
-    .A2(_08321_),
-    .B1(_08307_),
-    .B2(_08322_),
+ sky130_fd_sc_hd__a22o_1 _12194_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
+    .A2(_07628_),
+    .B1(net93),
+    .B2(_07629_),
     .X(_01195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13138_ (.A(_08179_),
-    .B(_08316_),
-    .C(_06748_),
-    .X(_08323_),
+ sky130_fd_sc_hd__or3_2 _12195_ (.A(_07526_),
+    .B(_07623_),
+    .C(_06398_),
+    .X(_07630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13139_ (.A(_08323_),
-    .X(_08324_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12196_ (.A(_07630_),
+    .X(_07631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13140_ (.A(_08323_),
-    .Y(_08325_),
+ sky130_fd_sc_hd__inv_2 _12197_ (.A(_07630_),
+    .Y(_07632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13141_ (.A(_08325_),
-    .X(_08326_),
+ sky130_fd_sc_hd__clkbuf_1 _12198_ (.A(_07632_),
+    .X(_07633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13142_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
-    .A2(_08324_),
-    .B1(_08296_),
-    .B2(_08326_),
+ sky130_fd_sc_hd__a22o_1 _12199_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
+    .A2(_07631_),
+    .B1(net87),
+    .B2(_07633_),
     .X(_01194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13143_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
-    .A2(_08324_),
-    .B1(_08299_),
-    .B2(_08326_),
+ sky130_fd_sc_hd__a22o_1 _12200_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
+    .A2(_07631_),
+    .B1(net88),
+    .B2(_07633_),
     .X(_01193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13144_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
-    .A2(_08324_),
-    .B1(_08300_),
-    .B2(_08326_),
+ sky130_fd_sc_hd__a22o_1 _12201_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
+    .A2(_07631_),
+    .B1(net89),
+    .B2(_07633_),
     .X(_01192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13145_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
-    .A2(_08324_),
-    .B1(_08301_),
-    .B2(_08326_),
+ sky130_fd_sc_hd__a22o_1 _12202_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
+    .A2(_07631_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07633_),
     .X(_01191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13146_ (.A(_08323_),
-    .X(_08327_),
+ sky130_fd_sc_hd__clkbuf_1 _12203_ (.A(_07630_),
+    .X(_07634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13147_ (.A(_08325_),
-    .X(_08328_),
+ sky130_fd_sc_hd__clkbuf_1 _12204_ (.A(_07632_),
+    .X(_07635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13148_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
-    .A2(_08327_),
-    .B1(_08303_),
-    .B2(_08328_),
+ sky130_fd_sc_hd__a22o_1 _12205_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
+    .A2(_07634_),
+    .B1(net90),
+    .B2(_07635_),
     .X(_01190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13149_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
-    .A2(_08327_),
-    .B1(_08305_),
-    .B2(_08328_),
+ sky130_fd_sc_hd__a22o_1 _12206_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
+    .A2(_07634_),
+    .B1(net91),
+    .B2(_07635_),
     .X(_01189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13150_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
-    .A2(_08327_),
-    .B1(_08306_),
-    .B2(_08328_),
+ sky130_fd_sc_hd__a22o_1 _12207_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
+    .A2(_07634_),
+    .B1(net92),
+    .B2(_07635_),
     .X(_01188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13151_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
-    .A2(_08327_),
-    .B1(_08307_),
-    .B2(_08328_),
+ sky130_fd_sc_hd__a22o_1 _12208_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
+    .A2(_07634_),
+    .B1(net93),
+    .B2(_07635_),
     .X(_01187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13152_ (.A(_08186_),
-    .B(_08316_),
-    .C(_06748_),
-    .X(_08329_),
+ sky130_fd_sc_hd__or3_4 _12209_ (.A(_07533_),
+    .B(_07623_),
+    .C(_06398_),
+    .X(_07636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13153_ (.A(_08329_),
-    .X(_08330_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12210_ (.A(_07636_),
+    .X(_07637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13154_ (.A(_08255_),
-    .X(_08331_),
+ sky130_fd_sc_hd__inv_2 _12211_ (.A(_07636_),
+    .Y(_07638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13155_ (.A(_08329_),
-    .Y(_08332_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12212_ (.A(_07638_),
+    .X(_07639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13156_ (.A(_08332_),
-    .X(_08333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13157_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
-    .A2(_08330_),
-    .B1(_08331_),
-    .B2(_08333_),
+ sky130_fd_sc_hd__a22o_1 _12213_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
+    .A2(_07637_),
+    .B1(net87),
+    .B2(_07639_),
     .X(_01186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13158_ (.A(_08259_),
-    .X(_08334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13159_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
-    .A2(_08330_),
-    .B1(_08334_),
-    .B2(_08333_),
+ sky130_fd_sc_hd__a22o_1 _12214_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
+    .A2(_07637_),
+    .B1(net88),
+    .B2(_07639_),
     .X(_01185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13160_ (.A(_08261_),
-    .X(_08335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13161_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
-    .A2(_08330_),
-    .B1(_08335_),
-    .B2(_08333_),
+ sky130_fd_sc_hd__a22o_1 _12215_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
+    .A2(_07637_),
+    .B1(net89),
+    .B2(_07639_),
     .X(_01184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13162_ (.A(_08263_),
-    .X(_08336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13163_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
-    .A2(_08330_),
-    .B1(_08336_),
-    .B2(_08333_),
+ sky130_fd_sc_hd__a22o_1 _12216_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
+    .A2(_07637_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07639_),
     .X(_01183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13164_ (.A(_08329_),
-    .X(_08337_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12217_ (.A(_07636_),
+    .X(_07640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13165_ (.A(_08266_),
-    .X(_08338_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12218_ (.A(_07638_),
+    .X(_07641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13166_ (.A(_08332_),
-    .X(_08339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13167_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
-    .A2(_08337_),
-    .B1(_08338_),
-    .B2(_08339_),
+ sky130_fd_sc_hd__a22o_1 _12219_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
+    .A2(_07640_),
+    .B1(net90),
+    .B2(_07641_),
     .X(_01182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13168_ (.A(_08269_),
-    .X(_08340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13169_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
-    .A2(_08337_),
-    .B1(_08340_),
-    .B2(_08339_),
+ sky130_fd_sc_hd__a22o_1 _12220_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
+    .A2(_07640_),
+    .B1(net91),
+    .B2(_07641_),
     .X(_01181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13170_ (.A(_08271_),
-    .X(_08341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13171_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
-    .A2(_08337_),
-    .B1(_08341_),
-    .B2(_08339_),
+ sky130_fd_sc_hd__a22o_1 _12221_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
+    .A2(_07640_),
+    .B1(net92),
+    .B2(_07641_),
     .X(_01180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13172_ (.A(_08273_),
-    .X(_08342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13173_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
-    .A2(_08337_),
-    .B1(_08342_),
-    .B2(_08339_),
+ sky130_fd_sc_hd__a22o_1 _12222_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
+    .A2(_07640_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07641_),
     .X(_01179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13174_ (.A(_06747_),
-    .X(_08343_),
+ sky130_fd_sc_hd__clkbuf_1 _12223_ (.A(_06397_),
+    .X(_07642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13175_ (.A(_08028_),
-    .B(_08316_),
-    .C(_08343_),
-    .X(_08344_),
+ sky130_fd_sc_hd__or3_2 _12224_ (.A(_07400_),
+    .B(_07623_),
+    .C(_07642_),
+    .X(_07643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13176_ (.A(_08344_),
-    .X(_08345_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12225_ (.A(_07643_),
+    .X(_07644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13177_ (.A(_08344_),
-    .Y(_08346_),
+ sky130_fd_sc_hd__inv_2 _12226_ (.A(_07643_),
+    .Y(_07645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13178_ (.A(_08346_),
-    .X(_08347_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12227_ (.A(_07645_),
+    .X(_07646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13179_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
-    .A2(_08345_),
-    .B1(_08331_),
-    .B2(_08347_),
+ sky130_fd_sc_hd__a22o_1 _12228_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
+    .A2(_07644_),
+    .B1(net87),
+    .B2(_07646_),
     .X(_01178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13180_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
-    .A2(_08345_),
-    .B1(_08334_),
-    .B2(_08347_),
+ sky130_fd_sc_hd__a22o_1 _12229_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
+    .A2(_07644_),
+    .B1(net88),
+    .B2(_07646_),
     .X(_01177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13181_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
-    .A2(_08345_),
-    .B1(_08335_),
-    .B2(_08347_),
+ sky130_fd_sc_hd__a22o_1 _12230_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
+    .A2(_07644_),
+    .B1(net89),
+    .B2(_07646_),
     .X(_01176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13182_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
-    .A2(_08345_),
-    .B1(_08336_),
-    .B2(_08347_),
+ sky130_fd_sc_hd__a22o_1 _12231_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
+    .A2(_07644_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07646_),
     .X(_01175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13183_ (.A(_08344_),
-    .X(_08348_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12232_ (.A(_07643_),
+    .X(_07647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13184_ (.A(_08346_),
-    .X(_08349_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12233_ (.A(_07645_),
+    .X(_07648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13185_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
-    .A2(_08348_),
-    .B1(_08338_),
-    .B2(_08349_),
+ sky130_fd_sc_hd__a22o_1 _12234_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
+    .A2(_07647_),
+    .B1(net90),
+    .B2(_07648_),
     .X(_01174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13186_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
-    .A2(_08348_),
-    .B1(_08340_),
-    .B2(_08349_),
+ sky130_fd_sc_hd__a22o_1 _12235_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
+    .A2(_07647_),
+    .B1(net91),
+    .B2(_07648_),
     .X(_01173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13187_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
-    .A2(_08348_),
-    .B1(_08341_),
-    .B2(_08349_),
+ sky130_fd_sc_hd__a22o_1 _12236_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
+    .A2(_07647_),
+    .B1(net92),
+    .B2(_07648_),
     .X(_01172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13188_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
-    .A2(_08348_),
-    .B1(_08342_),
-    .B2(_08349_),
+ sky130_fd_sc_hd__a22o_1 _12237_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
+    .A2(_07647_),
+    .B1(net93),
+    .B2(_07648_),
     .X(_01171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13189_ (.A(_08314_),
-    .X(_08350_),
+ sky130_fd_sc_hd__clkbuf_1 _12238_ (.A(_07621_),
+    .X(_07649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13190_ (.A(_08035_),
-    .B(_08350_),
-    .C(_08343_),
-    .X(_08351_),
+ sky130_fd_sc_hd__or3_4 _12239_ (.A(_07407_),
+    .B(_07649_),
+    .C(_07642_),
+    .X(_07650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13191_ (.A(_08351_),
-    .X(_08352_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12240_ (.A(_07650_),
+    .X(_07651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13192_ (.A(_08351_),
-    .Y(_08353_),
+ sky130_fd_sc_hd__inv_2 _12241_ (.A(_07650_),
+    .Y(_07652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13193_ (.A(_08353_),
-    .X(_08354_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12242_ (.A(_07652_),
+    .X(_07653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13194_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
-    .A2(_08352_),
-    .B1(_08331_),
-    .B2(_08354_),
+ sky130_fd_sc_hd__a22o_1 _12243_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
+    .A2(_07651_),
+    .B1(net87),
+    .B2(_07653_),
     .X(_01170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13195_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
-    .A2(_08352_),
-    .B1(_08334_),
-    .B2(_08354_),
+ sky130_fd_sc_hd__a22o_1 _12244_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
+    .A2(_07651_),
+    .B1(net88),
+    .B2(_07653_),
     .X(_01169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13196_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
-    .A2(_08352_),
-    .B1(_08335_),
-    .B2(_08354_),
+ sky130_fd_sc_hd__a22o_1 _12245_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
+    .A2(_07651_),
+    .B1(net89),
+    .B2(_07653_),
     .X(_01168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13197_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
-    .A2(_08352_),
-    .B1(_08336_),
-    .B2(_08354_),
+ sky130_fd_sc_hd__a22o_1 _12246_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
+    .A2(_07651_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07653_),
     .X(_01167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13198_ (.A(_08351_),
-    .X(_08355_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12247_ (.A(_07650_),
+    .X(_07654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13199_ (.A(_08353_),
-    .X(_08356_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12248_ (.A(_07652_),
+    .X(_07655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13200_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ),
-    .A2(_08355_),
-    .B1(_08338_),
-    .B2(_08356_),
+ sky130_fd_sc_hd__a22o_1 _12249_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ),
+    .A2(_07654_),
+    .B1(net90),
+    .B2(_07655_),
     .X(_01166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13201_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ),
-    .A2(_08355_),
-    .B1(_08340_),
-    .B2(_08356_),
+ sky130_fd_sc_hd__a22o_1 _12250_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ),
+    .A2(_07654_),
+    .B1(net91),
+    .B2(_07655_),
     .X(_01165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13202_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ),
-    .A2(_08355_),
-    .B1(_08341_),
-    .B2(_08356_),
+ sky130_fd_sc_hd__a22o_1 _12251_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ),
+    .A2(_07654_),
+    .B1(net92),
+    .B2(_07655_),
     .X(_01164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13203_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ),
-    .A2(_08355_),
-    .B1(_08342_),
-    .B2(_08356_),
+ sky130_fd_sc_hd__a22o_1 _12252_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ),
+    .A2(_07654_),
+    .B1(net93),
+    .B2(_07655_),
     .X(_01163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13204_ (.A(_08050_),
-    .B(_08350_),
-    .C(_08343_),
-    .X(_08357_),
+ sky130_fd_sc_hd__or3_1 _12253_ (.A(_07414_),
+    .B(_07649_),
+    .C(_07642_),
+    .X(_07656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13205_ (.A(_08357_),
-    .X(_08358_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12254_ (.A(_07656_),
+    .X(_07657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13206_ (.A(_08357_),
-    .Y(_08359_),
+ sky130_fd_sc_hd__inv_2 _12255_ (.A(_07656_),
+    .Y(_07658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13207_ (.A(_08359_),
-    .X(_08360_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12256_ (.A(_07658_),
+    .X(_07659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13208_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
-    .A2(_08358_),
-    .B1(_08331_),
-    .B2(_08360_),
+ sky130_fd_sc_hd__a22o_1 _12257_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
+    .A2(_07657_),
+    .B1(net87),
+    .B2(_07659_),
     .X(_01162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13209_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
-    .A2(_08358_),
-    .B1(_08334_),
-    .B2(_08360_),
+ sky130_fd_sc_hd__a22o_1 _12258_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
+    .A2(_07657_),
+    .B1(net88),
+    .B2(_07659_),
     .X(_01161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13210_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
-    .A2(_08358_),
-    .B1(_08335_),
-    .B2(_08360_),
+ sky130_fd_sc_hd__a22o_1 _12259_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
+    .A2(_07657_),
+    .B1(net89),
+    .B2(_07659_),
     .X(_01160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13211_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
-    .A2(_08358_),
-    .B1(_08336_),
-    .B2(_08360_),
+ sky130_fd_sc_hd__a22o_1 _12260_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
+    .A2(_07657_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07659_),
     .X(_01159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13212_ (.A(_08357_),
-    .X(_08361_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12261_ (.A(_07656_),
+    .X(_07660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13213_ (.A(_08359_),
-    .X(_08362_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12262_ (.A(_07658_),
+    .X(_07661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13214_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
-    .A2(_08361_),
-    .B1(_08338_),
-    .B2(_08362_),
+ sky130_fd_sc_hd__a22o_1 _12263_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
+    .A2(_07660_),
+    .B1(net90),
+    .B2(_07661_),
     .X(_01158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13215_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
-    .A2(_08361_),
-    .B1(_08340_),
-    .B2(_08362_),
+ sky130_fd_sc_hd__a22o_1 _12264_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
+    .A2(_07660_),
+    .B1(net91),
+    .B2(_07661_),
     .X(_01157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13216_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
-    .A2(_08361_),
-    .B1(_08341_),
-    .B2(_08362_),
+ sky130_fd_sc_hd__a22o_1 _12265_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
+    .A2(_07660_),
+    .B1(net92),
+    .B2(_07661_),
     .X(_01156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13217_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
-    .A2(_08361_),
-    .B1(_08342_),
-    .B2(_08362_),
+ sky130_fd_sc_hd__a22o_1 _12266_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
+    .A2(_07660_),
+    .B1(net93),
+    .B2(_07661_),
     .X(_01155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13218_ (.A(_07230_),
-    .B(_07004_),
-    .C(_07261_),
-    .X(_08363_),
+ sky130_fd_sc_hd__or3_2 _12267_ (.A(_06822_),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .C(_06840_),
+    .X(_07662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13219_ (.A(_08363_),
-    .X(_08364_),
+ sky130_fd_sc_hd__clkbuf_2 _12268_ (.A(_07662_),
+    .X(_07663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13220_ (.A(_08363_),
-    .Y(_08365_),
+ sky130_fd_sc_hd__inv_2 _12269_ (.A(_07662_),
+    .Y(_07664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13221_ (.A(_08365_),
-    .X(_08366_),
+ sky130_fd_sc_hd__clkbuf_2 _12270_ (.A(_07664_),
+    .X(_07665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13222_ (.A1(\u_uart_core.u_txfifo.mem[8][7] ),
-    .A2(_08364_),
-    .B1(_08157_),
-    .B2(_08366_),
+ sky130_fd_sc_hd__a22o_1 _12271_ (.A1(\u_uart_core.u_txfifo.mem[8][7] ),
+    .A2(_07663_),
+    .B1(net39),
+    .B2(_07665_),
     .X(_01154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13223_ (.A1(\u_uart_core.u_txfifo.mem[8][6] ),
-    .A2(_08364_),
-    .B1(_08160_),
-    .B2(_08366_),
+ sky130_fd_sc_hd__a22o_1 _12272_ (.A1(\u_uart_core.u_txfifo.mem[8][6] ),
+    .A2(_07663_),
+    .B1(net38),
+    .B2(_07665_),
     .X(_01153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13224_ (.A1(\u_uart_core.u_txfifo.mem[8][5] ),
-    .A2(_08364_),
-    .B1(_08161_),
-    .B2(_08366_),
+ sky130_fd_sc_hd__a22o_1 _12273_ (.A1(\u_uart_core.u_txfifo.mem[8][5] ),
+    .A2(_07663_),
+    .B1(net37),
+    .B2(_07665_),
     .X(_01152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13225_ (.A1(\u_uart_core.u_txfifo.mem[8][4] ),
-    .A2(_08364_),
-    .B1(_08152_),
-    .B2(_08366_),
+ sky130_fd_sc_hd__a22o_1 _12274_ (.A1(\u_uart_core.u_txfifo.mem[8][4] ),
+    .A2(_07663_),
+    .B1(net36),
+    .B2(_07665_),
     .X(_01151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13226_ (.A(_08363_),
-    .X(_08367_),
+ sky130_fd_sc_hd__clkbuf_1 _12275_ (.A(_07662_),
+    .X(_07666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13227_ (.A(_08365_),
-    .X(_08368_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12276_ (.A(_07664_),
+    .X(_07667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13228_ (.A1(\u_uart_core.u_txfifo.mem[8][3] ),
-    .A2(_08367_),
-    .B1(_05604_),
-    .B2(_08368_),
+ sky130_fd_sc_hd__a22o_1 _12277_ (.A1(\u_uart_core.u_txfifo.mem[8][3] ),
+    .A2(_07666_),
+    .B1(net35),
+    .B2(_07667_),
     .X(_01150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13229_ (.A1(\u_uart_core.u_txfifo.mem[8][2] ),
-    .A2(_08367_),
-    .B1(_07043_),
-    .B2(_08368_),
+ sky130_fd_sc_hd__a22o_1 _12278_ (.A1(\u_uart_core.u_txfifo.mem[8][2] ),
+    .A2(_07666_),
+    .B1(net32),
+    .B2(_07667_),
     .X(_01149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13230_ (.A1(\u_uart_core.u_txfifo.mem[8][1] ),
-    .A2(_08367_),
-    .B1(_07046_),
-    .B2(_08368_),
+ sky130_fd_sc_hd__a22o_1 _12279_ (.A1(\u_uart_core.u_txfifo.mem[8][1] ),
+    .A2(_07666_),
+    .B1(net25),
+    .B2(_07667_),
     .X(_01148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13231_ (.A1(\u_uart_core.u_txfifo.mem[8][0] ),
-    .A2(_08367_),
-    .B1(_07048_),
-    .B2(_08368_),
+ sky130_fd_sc_hd__a22o_1 _12280_ (.A1(\u_uart_core.u_txfifo.mem[8][0] ),
+    .A2(_07666_),
+    .B1(net14),
+    .B2(_07667_),
     .X(_01147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13232_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
-    .B(_07914_),
-    .X(_08369_),
+ sky130_fd_sc_hd__or2_1 _12281_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
+    .B(_07322_),
+    .X(_07668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13233_ (.A(_08369_),
-    .X(_08370_),
+ sky130_fd_sc_hd__clkbuf_2 _12282_ (.A(_07668_),
+    .X(_07669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _13234_ (.A(_08186_),
-    .B(_08370_),
-    .X(_08371_),
+ sky130_fd_sc_hd__or2_1 _12283_ (.A(_07533_),
+    .B(_07669_),
+    .X(_07670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13235_ (.A(_08371_),
-    .X(_08372_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12284_ (.A(_07670_),
+    .X(_07671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13236_ (.A(_08255_),
-    .X(_08373_),
+ sky130_fd_sc_hd__inv_2 _12285_ (.A(_07670_),
+    .Y(_07672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13237_ (.A(_08371_),
-    .Y(_08374_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12286_ (.A(_07672_),
+    .X(_07673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13238_ (.A(_08374_),
-    .X(_08375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13239_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
-    .A2(_08372_),
-    .B1(_08373_),
-    .B2(_08375_),
+ sky130_fd_sc_hd__a22o_1 _12287_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
+    .A2(_07671_),
+    .B1(net87),
+    .B2(_07673_),
     .X(_01146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13240_ (.A(_08259_),
-    .X(_08376_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13241_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
-    .A2(_08372_),
-    .B1(_08376_),
-    .B2(_08375_),
+ sky130_fd_sc_hd__a22o_1 _12288_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
+    .A2(_07671_),
+    .B1(net88),
+    .B2(_07673_),
     .X(_01145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13242_ (.A(_08261_),
-    .X(_08377_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13243_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
-    .A2(_08372_),
-    .B1(_08377_),
-    .B2(_08375_),
+ sky130_fd_sc_hd__a22o_1 _12289_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
+    .A2(_07671_),
+    .B1(net89),
+    .B2(_07673_),
     .X(_01144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13244_ (.A(_08263_),
-    .X(_08378_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13245_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
-    .A2(_08372_),
-    .B1(_08378_),
-    .B2(_08375_),
+ sky130_fd_sc_hd__a22o_1 _12290_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
+    .A2(_07671_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07673_),
     .X(_01143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13246_ (.A(_08371_),
-    .X(_08379_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12291_ (.A(_07670_),
+    .X(_07674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13247_ (.A(_08266_),
-    .X(_08380_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12292_ (.A(_07672_),
+    .X(_07675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13248_ (.A(_08374_),
-    .X(_08381_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13249_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
-    .A2(_08379_),
-    .B1(_08380_),
-    .B2(_08381_),
+ sky130_fd_sc_hd__a22o_1 _12293_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
+    .A2(_07674_),
+    .B1(net90),
+    .B2(_07675_),
     .X(_01142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13250_ (.A(_08269_),
-    .X(_08382_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13251_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
-    .A2(_08379_),
-    .B1(_08382_),
-    .B2(_08381_),
+ sky130_fd_sc_hd__a22o_1 _12294_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
+    .A2(_07674_),
+    .B1(net91),
+    .B2(_07675_),
     .X(_01141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13252_ (.A(_08271_),
-    .X(_08383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13253_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
-    .A2(_08379_),
-    .B1(_08383_),
-    .B2(_08381_),
+ sky130_fd_sc_hd__a22o_1 _12295_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
+    .A2(_07674_),
+    .B1(net92),
+    .B2(_07675_),
     .X(_01140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13254_ (.A(_08273_),
-    .X(_08384_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13255_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
-    .A2(_08379_),
-    .B1(_08384_),
-    .B2(_08381_),
+ sky130_fd_sc_hd__a22o_1 _12296_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
+    .A2(_07674_),
+    .B1(net93),
+    .B2(_07675_),
     .X(_01139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13256_ (.A(_07966_),
-    .B(_08350_),
-    .C(_08343_),
-    .X(_08385_),
+ sky130_fd_sc_hd__or3_4 _12297_ (.A(_07362_),
+    .B(_07649_),
+    .C(_07642_),
+    .X(_07676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13257_ (.A(_08385_),
-    .X(_08386_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12298_ (.A(_07676_),
+    .X(_07677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13258_ (.A(_08385_),
-    .Y(_08387_),
+ sky130_fd_sc_hd__inv_2 _12299_ (.A(_07676_),
+    .Y(_07678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13259_ (.A(_08387_),
-    .X(_08388_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12300_ (.A(_07678_),
+    .X(_07679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13260_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
-    .A2(_08386_),
-    .B1(_08373_),
-    .B2(_08388_),
+ sky130_fd_sc_hd__a22o_1 _12301_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
+    .A2(_07677_),
+    .B1(net87),
+    .B2(_07679_),
     .X(_01138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13261_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
-    .A2(_08386_),
-    .B1(_08376_),
-    .B2(_08388_),
+ sky130_fd_sc_hd__a22o_1 _12302_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
+    .A2(_07677_),
+    .B1(net88),
+    .B2(_07679_),
     .X(_01137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13262_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
-    .A2(_08386_),
-    .B1(_08377_),
-    .B2(_08388_),
+ sky130_fd_sc_hd__a22o_1 _12303_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
+    .A2(_07677_),
+    .B1(net89),
+    .B2(_07679_),
     .X(_01136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13263_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
-    .A2(_08386_),
-    .B1(_08378_),
-    .B2(_08388_),
+ sky130_fd_sc_hd__a22o_1 _12304_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
+    .A2(_07677_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07679_),
     .X(_01135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13264_ (.A(_08385_),
-    .X(_08389_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12305_ (.A(_07676_),
+    .X(_07680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13265_ (.A(_08387_),
-    .X(_08390_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12306_ (.A(_07678_),
+    .X(_07681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13266_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
-    .A2(_08389_),
-    .B1(_08380_),
-    .B2(_08390_),
+ sky130_fd_sc_hd__a22o_1 _12307_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
+    .A2(_07680_),
+    .B1(net90),
+    .B2(_07681_),
     .X(_01134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13267_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
-    .A2(_08389_),
-    .B1(_08382_),
-    .B2(_08390_),
+ sky130_fd_sc_hd__a22o_1 _12308_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
+    .A2(_07680_),
+    .B1(net91),
+    .B2(_07681_),
     .X(_01133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13268_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
-    .A2(_08389_),
-    .B1(_08383_),
-    .B2(_08390_),
+ sky130_fd_sc_hd__a22o_1 _12309_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
+    .A2(_07680_),
+    .B1(net92),
+    .B2(_07681_),
     .X(_01132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13269_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
-    .A2(_08389_),
-    .B1(_08384_),
-    .B2(_08390_),
+ sky130_fd_sc_hd__a22o_1 _12310_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
+    .A2(_07680_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07681_),
     .X(_01131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13270_ (.A(_06747_),
-    .X(_08391_),
+ sky130_fd_sc_hd__clkbuf_1 _12311_ (.A(_06397_),
+    .X(_07682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13271_ (.A(_08020_),
-    .B(_08350_),
-    .C(_08391_),
-    .X(_08392_),
+ sky130_fd_sc_hd__or3_4 _12312_ (.A(_07392_),
+    .B(_07649_),
+    .C(_07682_),
+    .X(_07683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13272_ (.A(_08392_),
-    .X(_08393_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12313_ (.A(_07683_),
+    .X(_07684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13273_ (.A(_08392_),
-    .Y(_08394_),
+ sky130_fd_sc_hd__inv_2 _12314_ (.A(_07683_),
+    .Y(_07685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13274_ (.A(_08394_),
-    .X(_08395_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12315_ (.A(_07685_),
+    .X(_07686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13275_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
-    .A2(_08393_),
-    .B1(_08373_),
-    .B2(_08395_),
+ sky130_fd_sc_hd__a22o_1 _12316_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
+    .A2(_07684_),
+    .B1(net87),
+    .B2(_07686_),
     .X(_01130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13276_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
-    .A2(_08393_),
-    .B1(_08376_),
-    .B2(_08395_),
+ sky130_fd_sc_hd__a22o_1 _12317_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
+    .A2(_07684_),
+    .B1(net88),
+    .B2(_07686_),
     .X(_01129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13277_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
-    .A2(_08393_),
-    .B1(_08377_),
-    .B2(_08395_),
+ sky130_fd_sc_hd__a22o_1 _12318_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
+    .A2(_07684_),
+    .B1(net89),
+    .B2(_07686_),
     .X(_01128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13278_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
-    .A2(_08393_),
-    .B1(_08378_),
-    .B2(_08395_),
+ sky130_fd_sc_hd__a22o_1 _12319_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
+    .A2(_07684_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07686_),
     .X(_01127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13279_ (.A(_08392_),
-    .X(_08396_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12320_ (.A(_07683_),
+    .X(_07687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13280_ (.A(_08394_),
-    .X(_08397_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12321_ (.A(_07685_),
+    .X(_07688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13281_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
-    .A2(_08396_),
-    .B1(_08380_),
-    .B2(_08397_),
+ sky130_fd_sc_hd__a22o_1 _12322_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
+    .A2(_07687_),
+    .B1(net90),
+    .B2(_07688_),
     .X(_01126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13282_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
-    .A2(_08396_),
-    .B1(_08382_),
-    .B2(_08397_),
+ sky130_fd_sc_hd__a22o_1 _12323_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
+    .A2(_07687_),
+    .B1(net91),
+    .B2(_07688_),
     .X(_01125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13283_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
-    .A2(_08396_),
-    .B1(_08383_),
-    .B2(_08397_),
+ sky130_fd_sc_hd__a22o_1 _12324_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
+    .A2(_07687_),
+    .B1(net92),
+    .B2(_07688_),
     .X(_01124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13284_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
-    .A2(_08396_),
-    .B1(_08384_),
-    .B2(_08397_),
+ sky130_fd_sc_hd__a22o_1 _12325_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
+    .A2(_07687_),
+    .B1(net93),
+    .B2(_07688_),
     .X(_01123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _13285_ (.A(_08028_),
-    .B(_08370_),
-    .X(_08398_),
+ sky130_fd_sc_hd__or2_2 _12326_ (.A(_07400_),
+    .B(_07669_),
+    .X(_07689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13286_ (.A(_08398_),
-    .X(_08399_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12327_ (.A(_07689_),
+    .X(_07690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13287_ (.A(_08398_),
-    .Y(_08400_),
+ sky130_fd_sc_hd__inv_2 _12328_ (.A(_07689_),
+    .Y(_07691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13288_ (.A(_08400_),
-    .X(_08401_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12329_ (.A(_07691_),
+    .X(_07692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13289_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
-    .A2(_08399_),
-    .B1(_08373_),
-    .B2(_08401_),
+ sky130_fd_sc_hd__a22o_1 _12330_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
+    .A2(_07690_),
+    .B1(net87),
+    .B2(_07692_),
     .X(_01122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13290_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
-    .A2(_08399_),
-    .B1(_08376_),
-    .B2(_08401_),
+ sky130_fd_sc_hd__a22o_1 _12331_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
+    .A2(_07690_),
+    .B1(net88),
+    .B2(_07692_),
     .X(_01121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13291_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
-    .A2(_08399_),
-    .B1(_08377_),
-    .B2(_08401_),
+ sky130_fd_sc_hd__a22o_1 _12332_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
+    .A2(_07690_),
+    .B1(net89),
+    .B2(_07692_),
     .X(_01120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13292_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
-    .A2(_08399_),
-    .B1(_08378_),
-    .B2(_08401_),
+ sky130_fd_sc_hd__a22o_1 _12333_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
+    .A2(_07690_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07692_),
     .X(_01119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13293_ (.A(_08398_),
-    .X(_08402_),
+ sky130_fd_sc_hd__clkbuf_1 _12334_ (.A(_07689_),
+    .X(_07693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13294_ (.A(_08400_),
-    .X(_08403_),
+ sky130_fd_sc_hd__clkbuf_1 _12335_ (.A(_07691_),
+    .X(_07694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13295_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
-    .A2(_08402_),
-    .B1(_08380_),
-    .B2(_08403_),
+ sky130_fd_sc_hd__a22o_1 _12336_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
+    .A2(_07693_),
+    .B1(net90),
+    .B2(_07694_),
     .X(_01118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13296_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
-    .A2(_08402_),
-    .B1(_08382_),
-    .B2(_08403_),
+ sky130_fd_sc_hd__a22o_1 _12337_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
+    .A2(_07693_),
+    .B1(net91),
+    .B2(_07694_),
     .X(_01117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13297_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ),
-    .A2(_08402_),
-    .B1(_08383_),
-    .B2(_08403_),
+ sky130_fd_sc_hd__a22o_1 _12338_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ),
+    .A2(_07693_),
+    .B1(net92),
+    .B2(_07694_),
     .X(_01116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13298_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
-    .A2(_08402_),
-    .B1(_08384_),
-    .B2(_08403_),
+ sky130_fd_sc_hd__a22o_1 _12339_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
+    .A2(_07693_),
+    .B1(net93),
+    .B2(_07694_),
     .X(_01115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _13299_ (.A(_08035_),
-    .B(_08370_),
-    .X(_08404_),
+ sky130_fd_sc_hd__or2_4 _12340_ (.A(_07407_),
+    .B(_07669_),
+    .X(_07695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13300_ (.A(_08404_),
-    .X(_08405_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12341_ (.A(_07695_),
+    .X(_07696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13301_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
-    .X(_08406_),
+ sky130_fd_sc_hd__inv_2 _12342_ (.A(_07695_),
+    .Y(_07697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13302_ (.A(_08406_),
-    .X(_08407_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12343_ (.A(_07697_),
+    .X(_07698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13303_ (.A(_08404_),
-    .Y(_08408_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13304_ (.A(_08408_),
-    .X(_08409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13305_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
-    .A2(_08405_),
-    .B1(_08407_),
-    .B2(_08409_),
+ sky130_fd_sc_hd__a22o_1 _12344_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
+    .A2(_07696_),
+    .B1(net87),
+    .B2(_07698_),
     .X(_01114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13306_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
-    .X(_08410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13307_ (.A(_08410_),
-    .X(_08411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13308_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
-    .A2(_08405_),
-    .B1(_08411_),
-    .B2(_08409_),
+ sky130_fd_sc_hd__a22o_1 _12345_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
+    .A2(_07696_),
+    .B1(net88),
+    .B2(_07698_),
     .X(_01113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13309_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
-    .X(_08412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13310_ (.A(_08412_),
-    .X(_08413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13311_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
-    .A2(_08405_),
-    .B1(_08413_),
-    .B2(_08409_),
+ sky130_fd_sc_hd__a22o_1 _12346_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
+    .A2(_07696_),
+    .B1(net89),
+    .B2(_07698_),
     .X(_01112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13312_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
-    .X(_08414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13313_ (.A(_08414_),
-    .X(_08415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13314_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
-    .A2(_08405_),
-    .B1(_08415_),
-    .B2(_08409_),
+ sky130_fd_sc_hd__a22o_1 _12347_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
+    .A2(_07696_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07698_),
     .X(_01111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13315_ (.A(_08404_),
-    .X(_08416_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12348_ (.A(_07695_),
+    .X(_07699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13316_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
-    .X(_08417_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12349_ (.A(_07697_),
+    .X(_07700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13317_ (.A(_08417_),
-    .X(_08418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13318_ (.A(_08408_),
-    .X(_08419_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13319_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
-    .A2(_08416_),
-    .B1(_08418_),
-    .B2(_08419_),
+ sky130_fd_sc_hd__a22o_1 _12350_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
+    .A2(_07699_),
+    .B1(net90),
+    .B2(_07700_),
     .X(_01110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13320_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
-    .X(_08420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13321_ (.A(_08420_),
-    .X(_08421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13322_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
-    .A2(_08416_),
-    .B1(_08421_),
-    .B2(_08419_),
+ sky130_fd_sc_hd__a22o_1 _12351_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
+    .A2(_07699_),
+    .B1(net91),
+    .B2(_07700_),
     .X(_01109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13323_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
-    .X(_08422_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13324_ (.A(_08422_),
-    .X(_08423_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13325_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
-    .A2(_08416_),
-    .B1(_08423_),
-    .B2(_08419_),
+ sky130_fd_sc_hd__a22o_1 _12352_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
+    .A2(_07699_),
+    .B1(net92),
+    .B2(_07700_),
     .X(_01108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13326_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
-    .X(_08424_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13327_ (.A(_08424_),
-    .X(_08425_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13328_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
-    .A2(_08416_),
-    .B1(_08425_),
-    .B2(_08419_),
+ sky130_fd_sc_hd__a22o_1 _12353_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
+    .A2(_07699_),
+    .B1(net93),
+    .B2(_07700_),
     .X(_01107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13329_ (.A(_08314_),
-    .X(_08426_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12354_ (.A(_07621_),
+    .X(_07701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13330_ (.A(_07975_),
-    .B(_08426_),
-    .C(_08391_),
-    .X(_08427_),
+ sky130_fd_sc_hd__or3_4 _12355_ (.A(_07371_),
+    .B(_07701_),
+    .C(_07682_),
+    .X(_07702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13331_ (.A(_08427_),
-    .X(_08428_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12356_ (.A(_07702_),
+    .X(_07703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13332_ (.A(_08427_),
-    .Y(_08429_),
+ sky130_fd_sc_hd__inv_2 _12357_ (.A(_07702_),
+    .Y(_07704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13333_ (.A(_08429_),
-    .X(_08430_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12358_ (.A(_07704_),
+    .X(_07705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13334_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
-    .A2(_08428_),
-    .B1(_08407_),
-    .B2(_08430_),
+ sky130_fd_sc_hd__a22o_1 _12359_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
+    .A2(_07703_),
+    .B1(net87),
+    .B2(_07705_),
     .X(_01106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13335_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
-    .A2(_08428_),
-    .B1(_08411_),
-    .B2(_08430_),
+ sky130_fd_sc_hd__a22o_1 _12360_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
+    .A2(_07703_),
+    .B1(net88),
+    .B2(_07705_),
     .X(_01105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13336_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
-    .A2(_08428_),
-    .B1(_08413_),
-    .B2(_08430_),
+ sky130_fd_sc_hd__a22o_1 _12361_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
+    .A2(_07703_),
+    .B1(net89),
+    .B2(_07705_),
     .X(_01104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13337_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
-    .A2(_08428_),
-    .B1(_08415_),
-    .B2(_08430_),
+ sky130_fd_sc_hd__a22o_1 _12362_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
+    .A2(_07703_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07705_),
     .X(_01103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13338_ (.A(_08427_),
-    .X(_08431_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12363_ (.A(_07702_),
+    .X(_07706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13339_ (.A(_08429_),
-    .X(_08432_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12364_ (.A(_07704_),
+    .X(_07707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13340_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
-    .A2(_08431_),
-    .B1(_08418_),
-    .B2(_08432_),
+ sky130_fd_sc_hd__a22o_1 _12365_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
+    .A2(_07706_),
+    .B1(net90),
+    .B2(_07707_),
     .X(_01102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13341_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
-    .A2(_08431_),
-    .B1(_08421_),
-    .B2(_08432_),
+ sky130_fd_sc_hd__a22o_1 _12366_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
+    .A2(_07706_),
+    .B1(net91),
+    .B2(_07707_),
     .X(_01101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13342_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
-    .A2(_08431_),
-    .B1(_08423_),
-    .B2(_08432_),
+ sky130_fd_sc_hd__a22o_1 _12367_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
+    .A2(_07706_),
+    .B1(net92),
+    .B2(_07707_),
     .X(_01100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13343_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
-    .A2(_08431_),
-    .B1(_08425_),
-    .B2(_08432_),
+ sky130_fd_sc_hd__a22o_1 _12368_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
+    .A2(_07706_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07707_),
     .X(_01099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13344_ (.A(_07932_),
-    .B(_08426_),
-    .C(_08391_),
-    .X(_08433_),
+ sky130_fd_sc_hd__or3_2 _12369_ (.A(_07340_),
+    .B(_07701_),
+    .C(_07682_),
+    .X(_07708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13345_ (.A(_08433_),
-    .X(_08434_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12370_ (.A(_07708_),
+    .X(_07709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13346_ (.A(_08433_),
-    .Y(_08435_),
+ sky130_fd_sc_hd__inv_2 _12371_ (.A(_07708_),
+    .Y(_07710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13347_ (.A(_08435_),
-    .X(_08436_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12372_ (.A(_07710_),
+    .X(_07711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13348_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
-    .A2(_08434_),
-    .B1(_08407_),
-    .B2(_08436_),
+ sky130_fd_sc_hd__a22o_1 _12373_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
+    .A2(_07709_),
+    .B1(net87),
+    .B2(_07711_),
     .X(_01098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13349_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
-    .A2(_08434_),
-    .B1(_08411_),
-    .B2(_08436_),
+ sky130_fd_sc_hd__a22o_1 _12374_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
+    .A2(_07709_),
+    .B1(net88),
+    .B2(_07711_),
     .X(_01097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13350_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
-    .A2(_08434_),
-    .B1(_08413_),
-    .B2(_08436_),
+ sky130_fd_sc_hd__a22o_1 _12375_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
+    .A2(_07709_),
+    .B1(net89),
+    .B2(_07711_),
     .X(_01096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13351_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
-    .A2(_08434_),
-    .B1(_08415_),
-    .B2(_08436_),
+ sky130_fd_sc_hd__a22o_1 _12376_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
+    .A2(_07709_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07711_),
     .X(_01095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13352_ (.A(_08433_),
-    .X(_08437_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12377_ (.A(_07708_),
+    .X(_07712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13353_ (.A(_08435_),
-    .X(_08438_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12378_ (.A(_07710_),
+    .X(_07713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13354_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
-    .A2(_08437_),
-    .B1(_08418_),
-    .B2(_08438_),
+ sky130_fd_sc_hd__a22o_1 _12379_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
+    .A2(_07712_),
+    .B1(net90),
+    .B2(_07713_),
     .X(_01094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13355_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
-    .A2(_08437_),
-    .B1(_08421_),
-    .B2(_08438_),
+ sky130_fd_sc_hd__a22o_1 _12380_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
+    .A2(_07712_),
+    .B1(net91),
+    .B2(_07713_),
     .X(_01093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13356_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
-    .A2(_08437_),
-    .B1(_08423_),
-    .B2(_08438_),
+ sky130_fd_sc_hd__a22o_1 _12381_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
+    .A2(_07712_),
+    .B1(net92),
+    .B2(_07713_),
     .X(_01092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13357_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
-    .A2(_08437_),
-    .B1(_08425_),
-    .B2(_08438_),
+ sky130_fd_sc_hd__a22o_1 _12382_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
+    .A2(_07712_),
+    .B1(net93),
+    .B2(_07713_),
     .X(_01091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13358_ (.A(_08050_),
-    .B(_08370_),
-    .X(_08439_),
+ sky130_fd_sc_hd__or2_2 _12383_ (.A(_07414_),
+    .B(_07669_),
+    .X(_07714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13359_ (.A(_08439_),
-    .X(_08440_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12384_ (.A(_07714_),
+    .X(_07715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13360_ (.A(_08439_),
-    .Y(_08441_),
+ sky130_fd_sc_hd__inv_2 _12385_ (.A(_07714_),
+    .Y(_07716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13361_ (.A(_08441_),
-    .X(_08442_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12386_ (.A(_07716_),
+    .X(_07717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13362_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
-    .A2(_08440_),
-    .B1(_08407_),
-    .B2(_08442_),
+ sky130_fd_sc_hd__a22o_1 _12387_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
+    .A2(_07715_),
+    .B1(net87),
+    .B2(_07717_),
     .X(_01090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13363_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
-    .A2(_08440_),
-    .B1(_08411_),
-    .B2(_08442_),
+ sky130_fd_sc_hd__a22o_1 _12388_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
+    .A2(_07715_),
+    .B1(net88),
+    .B2(_07717_),
     .X(_01089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13364_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
-    .A2(_08440_),
-    .B1(_08413_),
-    .B2(_08442_),
+ sky130_fd_sc_hd__a22o_1 _12389_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
+    .A2(_07715_),
+    .B1(net89),
+    .B2(_07717_),
     .X(_01088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13365_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ),
-    .A2(_08440_),
-    .B1(_08415_),
-    .B2(_08442_),
+ sky130_fd_sc_hd__a22o_1 _12390_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ),
+    .A2(_07715_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07717_),
     .X(_01087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13366_ (.A(_08439_),
-    .X(_08443_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12391_ (.A(_07714_),
+    .X(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13367_ (.A(_08441_),
-    .X(_08444_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12392_ (.A(_07716_),
+    .X(_07719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13368_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ),
-    .A2(_08443_),
-    .B1(_08418_),
-    .B2(_08444_),
+ sky130_fd_sc_hd__a22o_1 _12393_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ),
+    .A2(_07718_),
+    .B1(net90),
+    .B2(_07719_),
     .X(_01086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13369_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ),
-    .A2(_08443_),
-    .B1(_08421_),
-    .B2(_08444_),
+ sky130_fd_sc_hd__a22o_1 _12394_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ),
+    .A2(_07718_),
+    .B1(net91),
+    .B2(_07719_),
     .X(_01085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13370_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ),
-    .A2(_08443_),
-    .B1(_08423_),
-    .B2(_08444_),
+ sky130_fd_sc_hd__a22o_1 _12395_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ),
+    .A2(_07718_),
+    .B1(net92),
+    .B2(_07719_),
     .X(_01084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13371_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ),
-    .A2(_08443_),
-    .B1(_08425_),
-    .B2(_08444_),
+ sky130_fd_sc_hd__a22o_1 _12396_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ),
+    .A2(_07718_),
+    .B1(net93),
+    .B2(_07719_),
     .X(_01083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13372_ (.A(_08369_),
-    .X(_08445_),
+ sky130_fd_sc_hd__buf_2 _12397_ (.A(_07668_),
+    .X(_07720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13373_ (.A(_07940_),
-    .B(_08445_),
-    .X(_08446_),
+ sky130_fd_sc_hd__or2_1 _12398_ (.A(_07347_),
+    .B(_07720_),
+    .X(_07721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13374_ (.A(_08446_),
-    .X(_08447_),
+ sky130_fd_sc_hd__clkbuf_1 _12399_ (.A(_07721_),
+    .X(_07722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13375_ (.A(_08406_),
-    .X(_08448_),
+ sky130_fd_sc_hd__inv_2 _12400_ (.A(_07721_),
+    .Y(_07723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13376_ (.A(_08446_),
-    .Y(_08449_),
+ sky130_fd_sc_hd__clkbuf_1 _12401_ (.A(_07723_),
+    .X(_07724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13377_ (.A(_08449_),
-    .X(_08450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13378_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ),
-    .A2(_08447_),
-    .B1(_08448_),
-    .B2(_08450_),
+ sky130_fd_sc_hd__a22o_1 _12402_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ),
+    .A2(_07722_),
+    .B1(net87),
+    .B2(_07724_),
     .X(_01082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13379_ (.A(_08410_),
-    .X(_08451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13380_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ),
-    .A2(_08447_),
-    .B1(_08451_),
-    .B2(_08450_),
+ sky130_fd_sc_hd__a22o_1 _12403_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ),
+    .A2(_07722_),
+    .B1(net88),
+    .B2(_07724_),
     .X(_01081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13381_ (.A(_08412_),
-    .X(_08452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13382_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ),
-    .A2(_08447_),
-    .B1(_08452_),
-    .B2(_08450_),
+ sky130_fd_sc_hd__a22o_1 _12404_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ),
+    .A2(_07722_),
+    .B1(net89),
+    .B2(_07724_),
     .X(_01080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13383_ (.A(_08414_),
-    .X(_08453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13384_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ),
-    .A2(_08447_),
-    .B1(_08453_),
-    .B2(_08450_),
+ sky130_fd_sc_hd__a22o_1 _12405_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ),
+    .A2(_07722_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07724_),
     .X(_01079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13385_ (.A(_08446_),
-    .X(_08454_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12406_ (.A(_07721_),
+    .X(_07725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13386_ (.A(_08417_),
-    .X(_08455_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12407_ (.A(_07723_),
+    .X(_07726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13387_ (.A(_08449_),
-    .X(_08456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13388_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ),
-    .A2(_08454_),
-    .B1(_08455_),
-    .B2(_08456_),
+ sky130_fd_sc_hd__a22o_1 _12408_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ),
+    .A2(_07725_),
+    .B1(net90),
+    .B2(_07726_),
     .X(_01078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13389_ (.A(_08420_),
-    .X(_08457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13390_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ),
-    .A2(_08454_),
-    .B1(_08457_),
-    .B2(_08456_),
+ sky130_fd_sc_hd__a22o_1 _12409_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ),
+    .A2(_07725_),
+    .B1(net91),
+    .B2(_07726_),
     .X(_01077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13391_ (.A(_08422_),
-    .X(_08458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13392_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ),
-    .A2(_08454_),
-    .B1(_08458_),
-    .B2(_08456_),
+ sky130_fd_sc_hd__a22o_1 _12410_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ),
+    .A2(_07725_),
+    .B1(net92),
+    .B2(_07726_),
     .X(_01076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13393_ (.A(_08424_),
-    .X(_08459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13394_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ),
-    .A2(_08454_),
-    .B1(_08459_),
-    .B2(_08456_),
+ sky130_fd_sc_hd__a22o_1 _12411_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ),
+    .A2(_07725_),
+    .B1(net93),
+    .B2(_07726_),
     .X(_01075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13395_ (.A(_07925_),
-    .B(_08426_),
-    .C(_08391_),
-    .X(_08460_),
+ sky130_fd_sc_hd__or3_4 _12412_ (.A(_07333_),
+    .B(_07701_),
+    .C(_07682_),
+    .X(_07727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13396_ (.A(_08460_),
-    .X(_08461_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12413_ (.A(_07727_),
+    .X(_07728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13397_ (.A(_08460_),
-    .Y(_08462_),
+ sky130_fd_sc_hd__inv_2 _12414_ (.A(_07727_),
+    .Y(_07729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13398_ (.A(_08462_),
-    .X(_08463_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12415_ (.A(_07729_),
+    .X(_07730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13399_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
-    .A2(_08461_),
-    .B1(_08448_),
-    .B2(_08463_),
+ sky130_fd_sc_hd__a22o_1 _12416_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
+    .A2(_07728_),
+    .B1(net87),
+    .B2(_07730_),
     .X(_01074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13400_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
-    .A2(_08461_),
-    .B1(_08451_),
-    .B2(_08463_),
+ sky130_fd_sc_hd__a22o_1 _12417_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
+    .A2(_07728_),
+    .B1(net88),
+    .B2(_07730_),
     .X(_01073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13401_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
-    .A2(_08461_),
-    .B1(_08452_),
-    .B2(_08463_),
+ sky130_fd_sc_hd__a22o_1 _12418_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
+    .A2(_07728_),
+    .B1(net89),
+    .B2(_07730_),
     .X(_01072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13402_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
-    .A2(_08461_),
-    .B1(_08453_),
-    .B2(_08463_),
+ sky130_fd_sc_hd__a22o_1 _12419_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
+    .A2(_07728_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07730_),
     .X(_01071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13403_ (.A(_08460_),
-    .X(_08464_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12420_ (.A(_07727_),
+    .X(_07731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13404_ (.A(_08462_),
-    .X(_08465_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12421_ (.A(_07729_),
+    .X(_07732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13405_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
-    .A2(_08464_),
-    .B1(_08455_),
-    .B2(_08465_),
+ sky130_fd_sc_hd__a22o_1 _12422_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
+    .A2(_07731_),
+    .B1(net90),
+    .B2(_07732_),
     .X(_01070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13406_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
-    .A2(_08464_),
-    .B1(_08457_),
-    .B2(_08465_),
+ sky130_fd_sc_hd__a22o_1 _12423_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
+    .A2(_07731_),
+    .B1(net91),
+    .B2(_07732_),
     .X(_01069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13407_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
-    .A2(_08464_),
-    .B1(_08458_),
-    .B2(_08465_),
+ sky130_fd_sc_hd__a22o_1 _12424_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
+    .A2(_07731_),
+    .B1(net92),
+    .B2(_07732_),
     .X(_01068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13408_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
-    .A2(_08464_),
-    .B1(_08459_),
-    .B2(_08465_),
+ sky130_fd_sc_hd__a22o_1 _12425_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
+    .A2(_07731_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07732_),
     .X(_01067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13409_ (.A(_07955_),
-    .B(_08445_),
-    .X(_08466_),
+ sky130_fd_sc_hd__or2_2 _12426_ (.A(_07354_),
+    .B(_07720_),
+    .X(_07733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13410_ (.A(_08466_),
-    .X(_08467_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12427_ (.A(_07733_),
+    .X(_07734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13411_ (.A(_08466_),
-    .Y(_08468_),
+ sky130_fd_sc_hd__inv_2 _12428_ (.A(_07733_),
+    .Y(_07735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13412_ (.A(_08468_),
-    .X(_08469_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12429_ (.A(_07735_),
+    .X(_07736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13413_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
-    .A2(_08467_),
-    .B1(_08448_),
-    .B2(_08469_),
+ sky130_fd_sc_hd__a22o_1 _12430_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
+    .A2(_07734_),
+    .B1(net87),
+    .B2(_07736_),
     .X(_01066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13414_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
-    .A2(_08467_),
-    .B1(_08451_),
-    .B2(_08469_),
+ sky130_fd_sc_hd__a22o_1 _12431_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
+    .A2(_07734_),
+    .B1(net88),
+    .B2(_07736_),
     .X(_01065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13415_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
-    .A2(_08467_),
-    .B1(_08452_),
-    .B2(_08469_),
+ sky130_fd_sc_hd__a22o_1 _12432_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
+    .A2(_07734_),
+    .B1(net89),
+    .B2(_07736_),
     .X(_01064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13416_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
-    .A2(_08467_),
-    .B1(_08453_),
-    .B2(_08469_),
+ sky130_fd_sc_hd__a22o_1 _12433_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
+    .A2(_07734_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07736_),
     .X(_01063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13417_ (.A(_08466_),
-    .X(_08470_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12434_ (.A(_07733_),
+    .X(_07737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13418_ (.A(_08468_),
-    .X(_08471_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12435_ (.A(_07735_),
+    .X(_07738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13419_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
-    .A2(_08470_),
-    .B1(_08455_),
-    .B2(_08471_),
+ sky130_fd_sc_hd__a22o_1 _12436_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
+    .A2(_07737_),
+    .B1(net90),
+    .B2(_07738_),
     .X(_01062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13420_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
-    .A2(_08470_),
-    .B1(_08457_),
-    .B2(_08471_),
+ sky130_fd_sc_hd__a22o_1 _12437_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
+    .A2(_07737_),
+    .B1(net91),
+    .B2(_07738_),
     .X(_01061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13421_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
-    .A2(_08470_),
-    .B1(_08458_),
-    .B2(_08471_),
+ sky130_fd_sc_hd__a22o_1 _12438_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
+    .A2(_07737_),
+    .B1(net92),
+    .B2(_07738_),
     .X(_01060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13422_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
-    .A2(_08470_),
-    .B1(_08459_),
-    .B2(_08471_),
+ sky130_fd_sc_hd__a22o_1 _12439_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
+    .A2(_07737_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07738_),
     .X(_01059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13423_ (.A(_06747_),
-    .X(_08472_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12440_ (.A(_06397_),
+    .X(_07739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13424_ (.A(_07940_),
-    .B(_08426_),
-    .C(_08472_),
-    .X(_08473_),
+ sky130_fd_sc_hd__or3_1 _12441_ (.A(_07347_),
+    .B(_07701_),
+    .C(_07739_),
+    .X(_07740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13425_ (.A(_08473_),
-    .X(_08474_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12442_ (.A(_07740_),
+    .X(_07741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13426_ (.A(_08473_),
-    .Y(_08475_),
+ sky130_fd_sc_hd__inv_2 _12443_ (.A(_07740_),
+    .Y(_07742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13427_ (.A(_08475_),
-    .X(_08476_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12444_ (.A(_07742_),
+    .X(_07743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13428_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
-    .A2(_08474_),
-    .B1(_08448_),
-    .B2(_08476_),
+ sky130_fd_sc_hd__a22o_1 _12445_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
+    .A2(_07741_),
+    .B1(net87),
+    .B2(_07743_),
     .X(_01058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13429_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
-    .A2(_08474_),
-    .B1(_08451_),
-    .B2(_08476_),
+ sky130_fd_sc_hd__a22o_1 _12446_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
+    .A2(_07741_),
+    .B1(net88),
+    .B2(_07743_),
     .X(_01057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13430_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
-    .A2(_08474_),
-    .B1(_08452_),
-    .B2(_08476_),
+ sky130_fd_sc_hd__a22o_1 _12447_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
+    .A2(_07741_),
+    .B1(net89),
+    .B2(_07743_),
     .X(_01056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13431_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
-    .A2(_08474_),
-    .B1(_08453_),
-    .B2(_08476_),
+ sky130_fd_sc_hd__a22o_1 _12448_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
+    .A2(_07741_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07743_),
     .X(_01055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13432_ (.A(_08473_),
-    .X(_08477_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12449_ (.A(_07740_),
+    .X(_07744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13433_ (.A(_08475_),
-    .X(_08478_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12450_ (.A(_07742_),
+    .X(_07745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13434_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
-    .A2(_08477_),
-    .B1(_08455_),
-    .B2(_08478_),
+ sky130_fd_sc_hd__a22o_1 _12451_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
+    .A2(_07744_),
+    .B1(net90),
+    .B2(_07745_),
     .X(_01054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13435_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
-    .A2(_08477_),
-    .B1(_08457_),
-    .B2(_08478_),
+ sky130_fd_sc_hd__a22o_1 _12452_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
+    .A2(_07744_),
+    .B1(net91),
+    .B2(_07745_),
     .X(_01053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13436_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
-    .A2(_08477_),
-    .B1(_08458_),
-    .B2(_08478_),
+ sky130_fd_sc_hd__a22o_1 _12453_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
+    .A2(_07744_),
+    .B1(net92),
+    .B2(_07745_),
     .X(_01052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13437_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
-    .A2(_08477_),
-    .B1(_08459_),
-    .B2(_08478_),
+ sky130_fd_sc_hd__a22o_1 _12454_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
+    .A2(_07744_),
+    .B1(net93),
+    .B2(_07745_),
     .X(_01051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _13438_ (.A(_07982_),
-    .B(_08315_),
-    .C(_08472_),
-    .X(_08479_),
+ sky130_fd_sc_hd__or3_4 _12455_ (.A(_07378_),
+    .B(_07622_),
+    .C(_07739_),
+    .X(_07746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13439_ (.A(_08479_),
-    .X(_08480_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12456_ (.A(_07746_),
+    .X(_07747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13440_ (.A(_08406_),
-    .X(_08481_),
+ sky130_fd_sc_hd__inv_2 _12457_ (.A(_07746_),
+    .Y(_07748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13441_ (.A(_08479_),
-    .Y(_08482_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12458_ (.A(_07748_),
+    .X(_07749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13442_ (.A(_08482_),
-    .X(_08483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13443_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
-    .A2(_08480_),
-    .B1(_08481_),
-    .B2(_08483_),
+ sky130_fd_sc_hd__a22o_1 _12459_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
+    .A2(_07747_),
+    .B1(net87),
+    .B2(_07749_),
     .X(_01050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13444_ (.A(_08410_),
-    .X(_08484_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13445_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
-    .A2(_08480_),
-    .B1(_08484_),
-    .B2(_08483_),
+ sky130_fd_sc_hd__a22o_1 _12460_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
+    .A2(_07747_),
+    .B1(net88),
+    .B2(_07749_),
     .X(_01049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13446_ (.A(_08412_),
-    .X(_08485_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13447_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
-    .A2(_08480_),
-    .B1(_08485_),
-    .B2(_08483_),
+ sky130_fd_sc_hd__a22o_1 _12461_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
+    .A2(_07747_),
+    .B1(net89),
+    .B2(_07749_),
     .X(_01048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13448_ (.A(_08414_),
-    .X(_08486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13449_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
-    .A2(_08480_),
-    .B1(_08486_),
-    .B2(_08483_),
+ sky130_fd_sc_hd__a22o_1 _12462_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
+    .A2(_07747_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07749_),
     .X(_01047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13450_ (.A(_08479_),
-    .X(_08487_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12463_ (.A(_07746_),
+    .X(_07750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13451_ (.A(_08417_),
-    .X(_08488_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12464_ (.A(_07748_),
+    .X(_07751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13452_ (.A(_08482_),
-    .X(_08489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13453_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
-    .A2(_08487_),
-    .B1(_08488_),
-    .B2(_08489_),
+ sky130_fd_sc_hd__a22o_1 _12465_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
+    .A2(_07750_),
+    .B1(net90),
+    .B2(_07751_),
     .X(_01046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13454_ (.A(_08420_),
-    .X(_08490_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13455_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
-    .A2(_08487_),
-    .B1(_08490_),
-    .B2(_08489_),
+ sky130_fd_sc_hd__a22o_1 _12466_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
+    .A2(_07750_),
+    .B1(net91),
+    .B2(_07751_),
     .X(_01045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13456_ (.A(_08422_),
-    .X(_08491_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13457_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
-    .A2(_08487_),
-    .B1(_08491_),
-    .B2(_08489_),
+ sky130_fd_sc_hd__a22o_1 _12467_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
+    .A2(_07750_),
+    .B1(net92),
+    .B2(_07751_),
     .X(_01044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13458_ (.A(_08424_),
-    .X(_08492_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13459_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
-    .A2(_08487_),
-    .B1(_08492_),
-    .B2(_08489_),
+ sky130_fd_sc_hd__a22o_1 _12468_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
+    .A2(_07750_),
+    .B1(net93),
+    .B2(_07751_),
     .X(_01043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13460_ (.A(_07966_),
-    .B(_08445_),
-    .X(_08493_),
+ sky130_fd_sc_hd__or2_2 _12469_ (.A(_07362_),
+    .B(_07720_),
+    .X(_07752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13461_ (.A(_08493_),
-    .X(_08494_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12470_ (.A(_07752_),
+    .X(_07753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13462_ (.A(_08493_),
-    .Y(_08495_),
+ sky130_fd_sc_hd__inv_2 _12471_ (.A(_07752_),
+    .Y(_07754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13463_ (.A(_08495_),
-    .X(_08496_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12472_ (.A(_07754_),
+    .X(_07755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13464_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
-    .A2(_08494_),
-    .B1(_08481_),
-    .B2(_08496_),
+ sky130_fd_sc_hd__a22o_1 _12473_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
+    .A2(_07753_),
+    .B1(net87),
+    .B2(_07755_),
     .X(_01042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13465_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
-    .A2(_08494_),
-    .B1(_08484_),
-    .B2(_08496_),
+ sky130_fd_sc_hd__a22o_1 _12474_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
+    .A2(_07753_),
+    .B1(net88),
+    .B2(_07755_),
     .X(_01041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13466_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
-    .A2(_08494_),
-    .B1(_08485_),
-    .B2(_08496_),
+ sky130_fd_sc_hd__a22o_1 _12475_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
+    .A2(_07753_),
+    .B1(net89),
+    .B2(_07755_),
     .X(_01040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13467_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
-    .A2(_08494_),
-    .B1(_08486_),
-    .B2(_08496_),
+ sky130_fd_sc_hd__a22o_1 _12476_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
+    .A2(_07753_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07755_),
     .X(_01039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13468_ (.A(_08493_),
-    .X(_08497_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12477_ (.A(_07752_),
+    .X(_07756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13469_ (.A(_08495_),
-    .X(_08498_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12478_ (.A(_07754_),
+    .X(_07757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13470_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
-    .A2(_08497_),
-    .B1(_08488_),
-    .B2(_08498_),
+ sky130_fd_sc_hd__a22o_1 _12479_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
+    .A2(_07756_),
+    .B1(net90),
+    .B2(_07757_),
     .X(_01038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13471_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
-    .A2(_08497_),
-    .B1(_08490_),
-    .B2(_08498_),
+ sky130_fd_sc_hd__a22o_1 _12480_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
+    .A2(_07756_),
+    .B1(net91),
+    .B2(_07757_),
     .X(_01037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13472_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ),
-    .A2(_08497_),
-    .B1(_08491_),
-    .B2(_08498_),
+ sky130_fd_sc_hd__a22o_1 _12481_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ),
+    .A2(_07756_),
+    .B1(net92),
+    .B2(_07757_),
     .X(_01036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13473_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
-    .A2(_08497_),
-    .B1(_08492_),
-    .B2(_08498_),
+ sky130_fd_sc_hd__a22o_1 _12482_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
+    .A2(_07756_),
+    .B1(net93),
+    .B2(_07757_),
     .X(_01035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13474_ (.A(_07975_),
-    .B(_08445_),
-    .X(_08499_),
+ sky130_fd_sc_hd__or2_2 _12483_ (.A(_07371_),
+    .B(_07720_),
+    .X(_07758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13475_ (.A(_08499_),
-    .X(_08500_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12484_ (.A(_07758_),
+    .X(_07759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13476_ (.A(_08499_),
-    .Y(_08501_),
+ sky130_fd_sc_hd__inv_2 _12485_ (.A(_07758_),
+    .Y(_07760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13477_ (.A(_08501_),
-    .X(_08502_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12486_ (.A(_07760_),
+    .X(_07761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13478_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
-    .A2(_08500_),
-    .B1(_08481_),
-    .B2(_08502_),
+ sky130_fd_sc_hd__a22o_1 _12487_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
+    .A2(_07759_),
+    .B1(net87),
+    .B2(_07761_),
     .X(_01034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13479_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
-    .A2(_08500_),
-    .B1(_08484_),
-    .B2(_08502_),
+ sky130_fd_sc_hd__a22o_1 _12488_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
+    .A2(_07759_),
+    .B1(net88),
+    .B2(_07761_),
     .X(_01033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13480_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
-    .A2(_08500_),
-    .B1(_08485_),
-    .B2(_08502_),
+ sky130_fd_sc_hd__a22o_1 _12489_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
+    .A2(_07759_),
+    .B1(net89),
+    .B2(_07761_),
     .X(_01032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13481_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
-    .A2(_08500_),
-    .B1(_08486_),
-    .B2(_08502_),
+ sky130_fd_sc_hd__a22o_1 _12490_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
+    .A2(_07759_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07761_),
     .X(_01031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13482_ (.A(_08499_),
-    .X(_08503_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12491_ (.A(_07758_),
+    .X(_07762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13483_ (.A(_08501_),
-    .X(_08504_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12492_ (.A(_07760_),
+    .X(_07763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13484_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
-    .A2(_08503_),
-    .B1(_08488_),
-    .B2(_08504_),
+ sky130_fd_sc_hd__a22o_1 _12493_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
+    .A2(_07762_),
+    .B1(net90),
+    .B2(_07763_),
     .X(_01030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13485_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
-    .A2(_08503_),
-    .B1(_08490_),
-    .B2(_08504_),
+ sky130_fd_sc_hd__a22o_1 _12494_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
+    .A2(_07762_),
+    .B1(net91),
+    .B2(_07763_),
     .X(_01029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13486_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
-    .A2(_08503_),
-    .B1(_08491_),
-    .B2(_08504_),
+ sky130_fd_sc_hd__a22o_1 _12495_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
+    .A2(_07762_),
+    .B1(net92),
+    .B2(_07763_),
     .X(_01028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13487_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
-    .A2(_08503_),
-    .B1(_08492_),
-    .B2(_08504_),
+ sky130_fd_sc_hd__a22o_1 _12496_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
+    .A2(_07762_),
+    .B1(net93),
+    .B2(_07763_),
     .X(_01027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _13488_ (.A(_07495_),
-    .B(_06746_),
-    .X(_08505_),
+ sky130_fd_sc_hd__or2_1 _12497_ (.A(_07015_),
+    .B(_06396_),
+    .X(_07764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13489_ (.A(_08505_),
-    .X(_08506_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12498_ (.A(_07764_),
+    .X(_07765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13490_ (.A(_08505_),
-    .Y(_08507_),
+ sky130_fd_sc_hd__inv_2 _12499_ (.A(_07764_),
+    .Y(_07766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13491_ (.A(_08507_),
-    .X(_08508_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12500_ (.A(_07766_),
+    .X(_07767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13492_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
-    .A2(_08506_),
-    .B1(_08481_),
-    .B2(_08508_),
+ sky130_fd_sc_hd__a22o_1 _12501_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
+    .A2(_07765_),
+    .B1(net87),
+    .B2(_07767_),
     .X(_01026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13493_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
-    .A2(_08506_),
-    .B1(_08484_),
-    .B2(_08508_),
+ sky130_fd_sc_hd__a22o_1 _12502_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
+    .A2(_07765_),
+    .B1(net88),
+    .B2(_07767_),
     .X(_01025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13494_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
-    .A2(_08506_),
-    .B1(_08485_),
-    .B2(_08508_),
+ sky130_fd_sc_hd__a22o_1 _12503_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
+    .A2(_07765_),
+    .B1(net89),
+    .B2(_07767_),
     .X(_01024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13495_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
-    .A2(_08506_),
-    .B1(_08486_),
-    .B2(_08508_),
+ sky130_fd_sc_hd__a22o_1 _12504_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
+    .A2(_07765_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07767_),
     .X(_01023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13496_ (.A(_08505_),
-    .X(_08509_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12505_ (.A(_07764_),
+    .X(_07768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13497_ (.A(_08507_),
-    .X(_08510_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12506_ (.A(_07766_),
+    .X(_07769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13498_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
-    .A2(_08509_),
-    .B1(_08488_),
-    .B2(_08510_),
+ sky130_fd_sc_hd__a22o_1 _12507_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
+    .A2(_07768_),
+    .B1(net90),
+    .B2(_07769_),
     .X(_01022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13499_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
-    .A2(_08509_),
-    .B1(_08490_),
-    .B2(_08510_),
+ sky130_fd_sc_hd__a22o_1 _12508_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
+    .A2(_07768_),
+    .B1(net91),
+    .B2(_07769_),
     .X(_01021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13500_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
-    .A2(_08509_),
-    .B1(_08491_),
-    .B2(_08510_),
+ sky130_fd_sc_hd__a22o_1 _12509_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
+    .A2(_07768_),
+    .B1(net92),
+    .B2(_07769_),
     .X(_01020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13501_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
-    .A2(_08509_),
-    .B1(_08492_),
-    .B2(_08510_),
+ sky130_fd_sc_hd__a22o_1 _12510_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
+    .A2(_07768_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07769_),
     .X(_01019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13502_ (.A(_08369_),
-    .X(_08511_),
+ sky130_fd_sc_hd__clkbuf_2 _12511_ (.A(_07668_),
+    .X(_07770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13503_ (.A(_07982_),
-    .B(_08511_),
-    .X(_08512_),
+ sky130_fd_sc_hd__or2_2 _12512_ (.A(_07378_),
+    .B(_07770_),
+    .X(_07771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13504_ (.A(_08512_),
-    .X(_08513_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12513_ (.A(_07771_),
+    .X(_07772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13505_ (.A(_08406_),
-    .X(_08514_),
+ sky130_fd_sc_hd__inv_2 _12514_ (.A(_07771_),
+    .Y(_07773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13506_ (.A(_08512_),
-    .Y(_08515_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12515_ (.A(_07773_),
+    .X(_07774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13507_ (.A(_08515_),
-    .X(_08516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13508_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
-    .A2(_08513_),
-    .B1(_08514_),
-    .B2(_08516_),
+ sky130_fd_sc_hd__a22o_1 _12516_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
+    .A2(_07772_),
+    .B1(net87),
+    .B2(_07774_),
     .X(_01018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13509_ (.A(_08410_),
-    .X(_08517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13510_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
-    .A2(_08513_),
-    .B1(_08517_),
-    .B2(_08516_),
+ sky130_fd_sc_hd__a22o_1 _12517_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
+    .A2(_07772_),
+    .B1(net88),
+    .B2(_07774_),
     .X(_01017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13511_ (.A(_08412_),
-    .X(_08518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13512_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
-    .A2(_08513_),
-    .B1(_08518_),
-    .B2(_08516_),
+ sky130_fd_sc_hd__a22o_1 _12518_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
+    .A2(_07772_),
+    .B1(net89),
+    .B2(_07774_),
     .X(_01016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13513_ (.A(_08414_),
-    .X(_08519_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13514_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
-    .A2(_08513_),
-    .B1(_08519_),
-    .B2(_08516_),
+ sky130_fd_sc_hd__a22o_1 _12519_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
+    .A2(_07772_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07774_),
     .X(_01015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13515_ (.A(_08512_),
-    .X(_08520_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12520_ (.A(_07771_),
+    .X(_07775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13516_ (.A(_08417_),
-    .X(_08521_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12521_ (.A(_07773_),
+    .X(_07776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13517_ (.A(_08515_),
-    .X(_08522_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13518_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ),
-    .A2(_08520_),
-    .B1(_08521_),
-    .B2(_08522_),
+ sky130_fd_sc_hd__a22o_1 _12522_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ),
+    .A2(_07775_),
+    .B1(net90),
+    .B2(_07776_),
     .X(_01014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13519_ (.A(_08420_),
-    .X(_08523_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13520_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
-    .A2(_08520_),
-    .B1(_08523_),
-    .B2(_08522_),
+ sky130_fd_sc_hd__a22o_1 _12523_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
+    .A2(_07775_),
+    .B1(net91),
+    .B2(_07776_),
     .X(_01013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13521_ (.A(_08422_),
-    .X(_08524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13522_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ),
-    .A2(_08520_),
-    .B1(_08524_),
-    .B2(_08522_),
+ sky130_fd_sc_hd__a22o_1 _12524_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ),
+    .A2(_07775_),
+    .B1(net92),
+    .B2(_07776_),
     .X(_01012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13523_ (.A(_08424_),
-    .X(_08525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13524_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ),
-    .A2(_08520_),
-    .B1(_08525_),
-    .B2(_08522_),
+ sky130_fd_sc_hd__a22o_1 _12525_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ),
+    .A2(_07775_),
+    .B1(net93),
+    .B2(_07776_),
     .X(_01011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13525_ (.A(_08013_),
-    .B(_08315_),
-    .C(_08472_),
-    .X(_08526_),
+ sky130_fd_sc_hd__or3_4 _12526_ (.A(_07385_),
+    .B(_07622_),
+    .C(_07739_),
+    .X(_07777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13526_ (.A(_08526_),
-    .X(_08527_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12527_ (.A(_07777_),
+    .X(_07778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13527_ (.A(_08526_),
-    .Y(_08528_),
+ sky130_fd_sc_hd__inv_2 _12528_ (.A(_07777_),
+    .Y(_07779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13528_ (.A(_08528_),
-    .X(_08529_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12529_ (.A(_07779_),
+    .X(_07780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13529_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
-    .A2(_08527_),
-    .B1(_08514_),
-    .B2(_08529_),
+ sky130_fd_sc_hd__a22o_1 _12530_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
+    .A2(_07778_),
+    .B1(net87),
+    .B2(_07780_),
     .X(_01010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13530_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
-    .A2(_08527_),
-    .B1(_08517_),
-    .B2(_08529_),
+ sky130_fd_sc_hd__a22o_1 _12531_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
+    .A2(_07778_),
+    .B1(net88),
+    .B2(_07780_),
     .X(_01009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13531_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
-    .A2(_08527_),
-    .B1(_08518_),
-    .B2(_08529_),
+ sky130_fd_sc_hd__a22o_1 _12532_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
+    .A2(_07778_),
+    .B1(net89),
+    .B2(_07780_),
     .X(_01008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13532_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
-    .A2(_08527_),
-    .B1(_08519_),
-    .B2(_08529_),
+ sky130_fd_sc_hd__a22o_1 _12533_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
+    .A2(_07778_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07780_),
     .X(_01007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13533_ (.A(_08526_),
-    .X(_08530_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12534_ (.A(_07777_),
+    .X(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13534_ (.A(_08528_),
-    .X(_08531_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12535_ (.A(_07779_),
+    .X(_07782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13535_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
-    .A2(_08530_),
-    .B1(_08521_),
-    .B2(_08531_),
+ sky130_fd_sc_hd__a22o_1 _12536_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
+    .A2(_07781_),
+    .B1(net90),
+    .B2(_07782_),
     .X(_01006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13536_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
-    .A2(_08530_),
-    .B1(_08523_),
-    .B2(_08531_),
+ sky130_fd_sc_hd__a22o_1 _12537_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
+    .A2(_07781_),
+    .B1(net91),
+    .B2(_07782_),
     .X(_01005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13537_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
-    .A2(_08530_),
-    .B1(_08524_),
-    .B2(_08531_),
+ sky130_fd_sc_hd__a22o_1 _12538_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
+    .A2(_07781_),
+    .B1(net92),
+    .B2(_07782_),
     .X(_01004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13538_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
-    .A2(_08530_),
-    .B1(_08525_),
-    .B2(_08531_),
+ sky130_fd_sc_hd__a22o_1 _12539_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
+    .A2(_07781_),
+    .B1(net93),
+    .B2(_07782_),
     .X(_01003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13539_ (.A(_08013_),
-    .B(_08511_),
-    .X(_08532_),
+ sky130_fd_sc_hd__or2_2 _12540_ (.A(_07385_),
+    .B(_07770_),
+    .X(_07783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13540_ (.A(_08532_),
-    .X(_08533_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12541_ (.A(_07783_),
+    .X(_07784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13541_ (.A(_08532_),
-    .Y(_08534_),
+ sky130_fd_sc_hd__inv_2 _12542_ (.A(_07783_),
+    .Y(_07785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13542_ (.A(_08534_),
-    .X(_08535_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12543_ (.A(_07785_),
+    .X(_07786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13543_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ),
-    .A2(_08533_),
-    .B1(_08514_),
-    .B2(_08535_),
+ sky130_fd_sc_hd__a22o_1 _12544_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ),
+    .A2(_07784_),
+    .B1(net87),
+    .B2(_07786_),
     .X(_01002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13544_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ),
-    .A2(_08533_),
-    .B1(_08517_),
-    .B2(_08535_),
+ sky130_fd_sc_hd__a22o_1 _12545_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ),
+    .A2(_07784_),
+    .B1(net88),
+    .B2(_07786_),
     .X(_01001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13545_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
-    .A2(_08533_),
-    .B1(_08518_),
-    .B2(_08535_),
+ sky130_fd_sc_hd__a22o_1 _12546_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
+    .A2(_07784_),
+    .B1(net89),
+    .B2(_07786_),
     .X(_01000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13546_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
-    .A2(_08533_),
-    .B1(_08519_),
-    .B2(_08535_),
+ sky130_fd_sc_hd__a22o_1 _12547_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
+    .A2(_07784_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07786_),
     .X(_00999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13547_ (.A(_08532_),
-    .X(_08536_),
+ sky130_fd_sc_hd__clkbuf_1 _12548_ (.A(_07783_),
+    .X(_07787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13548_ (.A(_08534_),
-    .X(_08537_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12549_ (.A(_07785_),
+    .X(_07788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13549_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
-    .A2(_08536_),
-    .B1(_08521_),
-    .B2(_08537_),
+ sky130_fd_sc_hd__a22o_1 _12550_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
+    .A2(_07787_),
+    .B1(net90),
+    .B2(_07788_),
     .X(_00998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13550_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
-    .A2(_08536_),
-    .B1(_08523_),
-    .B2(_08537_),
+ sky130_fd_sc_hd__a22o_1 _12551_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
+    .A2(_07787_),
+    .B1(net91),
+    .B2(_07788_),
     .X(_00997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13551_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
-    .A2(_08536_),
-    .B1(_08524_),
-    .B2(_08537_),
+ sky130_fd_sc_hd__a22o_1 _12552_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
+    .A2(_07787_),
+    .B1(net92),
+    .B2(_07788_),
     .X(_00996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13552_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
-    .A2(_08536_),
-    .B1(_08525_),
-    .B2(_08537_),
+ sky130_fd_sc_hd__a22o_1 _12553_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
+    .A2(_07787_),
+    .B1(net93),
+    .B2(_07788_),
     .X(_00995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13553_ (.A(_08020_),
-    .B(_08511_),
-    .X(_08538_),
+ sky130_fd_sc_hd__or2_1 _12554_ (.A(_07392_),
+    .B(_07770_),
+    .X(_07789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13554_ (.A(_08538_),
-    .X(_08539_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12555_ (.A(_07789_),
+    .X(_07790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13555_ (.A(_08538_),
-    .Y(_08540_),
+ sky130_fd_sc_hd__inv_2 _12556_ (.A(_07789_),
+    .Y(_07791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13556_ (.A(_08540_),
-    .X(_08541_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12557_ (.A(_07791_),
+    .X(_07792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13557_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
-    .A2(_08539_),
-    .B1(_08514_),
-    .B2(_08541_),
+ sky130_fd_sc_hd__a22o_1 _12558_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
+    .A2(_07790_),
+    .B1(net87),
+    .B2(_07792_),
     .X(_00994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13558_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
-    .A2(_08539_),
-    .B1(_08517_),
-    .B2(_08541_),
+ sky130_fd_sc_hd__a22o_1 _12559_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
+    .A2(_07790_),
+    .B1(net88),
+    .B2(_07792_),
     .X(_00993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13559_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
-    .A2(_08539_),
-    .B1(_08518_),
-    .B2(_08541_),
+ sky130_fd_sc_hd__a22o_1 _12560_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
+    .A2(_07790_),
+    .B1(net89),
+    .B2(_07792_),
     .X(_00992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13560_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
-    .A2(_08539_),
-    .B1(_08519_),
-    .B2(_08541_),
+ sky130_fd_sc_hd__a22o_1 _12561_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
+    .A2(_07790_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07792_),
     .X(_00991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13561_ (.A(_08538_),
-    .X(_08542_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12562_ (.A(_07789_),
+    .X(_07793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13562_ (.A(_08540_),
-    .X(_08543_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12563_ (.A(_07791_),
+    .X(_07794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13563_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
-    .A2(_08542_),
-    .B1(_08521_),
-    .B2(_08543_),
+ sky130_fd_sc_hd__a22o_1 _12564_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
+    .A2(_07793_),
+    .B1(net90),
+    .B2(_07794_),
     .X(_00990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13564_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
-    .A2(_08542_),
-    .B1(_08523_),
-    .B2(_08543_),
+ sky130_fd_sc_hd__a22o_1 _12565_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
+    .A2(_07793_),
+    .B1(net91),
+    .B2(_07794_),
     .X(_00989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13565_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
-    .A2(_08542_),
-    .B1(_08524_),
-    .B2(_08543_),
+ sky130_fd_sc_hd__a22o_1 _12566_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
+    .A2(_07793_),
+    .B1(net92),
+    .B2(_07794_),
     .X(_00988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13566_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
-    .A2(_08542_),
-    .B1(_08525_),
-    .B2(_08543_),
+ sky130_fd_sc_hd__a22o_1 _12567_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
+    .A2(_07793_),
+    .B1(net93),
+    .B2(_07794_),
     .X(_00987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13567_ (.A(_08027_),
-    .B(_08170_),
-    .X(_08544_),
+ sky130_fd_sc_hd__or2_2 _12568_ (.A(_07399_),
+    .B(_07517_),
+    .X(_07795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13568_ (.A(_08544_),
-    .X(_08545_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12569_ (.A(_07795_),
+    .X(_07796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13569_ (.A(_07985_),
-    .X(_08546_),
+ sky130_fd_sc_hd__inv_2 _12570_ (.A(_07795_),
+    .Y(_07797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13570_ (.A(_08544_),
-    .Y(_08547_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12571_ (.A(_07797_),
+    .X(_07798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13571_ (.A(_08547_),
-    .X(_08548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13572_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
-    .A2(_08545_),
-    .B1(_08546_),
-    .B2(_08548_),
+ sky130_fd_sc_hd__a22o_1 _12572_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
+    .A2(_07796_),
+    .B1(net87),
+    .B2(_07798_),
     .X(_00986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13573_ (.A(_07990_),
-    .X(_08549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13574_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
-    .A2(_08545_),
-    .B1(_08549_),
-    .B2(_08548_),
+ sky130_fd_sc_hd__a22o_1 _12573_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
+    .A2(_07796_),
+    .B1(net88),
+    .B2(_07798_),
     .X(_00985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13575_ (.A(_07993_),
-    .X(_08550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13576_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
-    .A2(_08545_),
-    .B1(_08550_),
-    .B2(_08548_),
+ sky130_fd_sc_hd__a22o_1 _12574_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
+    .A2(_07796_),
+    .B1(net89),
+    .B2(_07798_),
     .X(_00984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13577_ (.A(_07996_),
-    .X(_08551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13578_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
-    .A2(_08545_),
-    .B1(_08551_),
-    .B2(_08548_),
+ sky130_fd_sc_hd__a22o_1 _12575_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
+    .A2(_07796_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07798_),
     .X(_00983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13579_ (.A(_08544_),
-    .X(_08552_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12576_ (.A(_07795_),
+    .X(_07799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13580_ (.A(_08000_),
-    .X(_08553_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12577_ (.A(_07797_),
+    .X(_07800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13581_ (.A(_08547_),
-    .X(_08554_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13582_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
-    .A2(_08552_),
-    .B1(_08553_),
-    .B2(_08554_),
+ sky130_fd_sc_hd__a22o_1 _12578_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
+    .A2(_07799_),
+    .B1(net90),
+    .B2(_07800_),
     .X(_00982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13583_ (.A(_08004_),
-    .X(_08555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13584_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
-    .A2(_08552_),
-    .B1(_08555_),
-    .B2(_08554_),
+ sky130_fd_sc_hd__a22o_1 _12579_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
+    .A2(_07799_),
+    .B1(net91),
+    .B2(_07800_),
     .X(_00981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13585_ (.A(_08007_),
-    .X(_02838_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13586_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
-    .A2(_08552_),
-    .B1(_02838_),
-    .B2(_08554_),
+ sky130_fd_sc_hd__a22o_1 _12580_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
+    .A2(_07799_),
+    .B1(net92),
+    .B2(_07800_),
     .X(_00980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _13587_ (.A(_08010_),
-    .X(_02839_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13588_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
-    .A2(_08552_),
-    .B1(_02839_),
-    .B2(_08554_),
+ sky130_fd_sc_hd__a22o_1 _12581_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
+    .A2(_07799_),
+    .B1(net93),
+    .B2(_07800_),
     .X(_00979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13589_ (.A(_07932_),
-    .B(_08511_),
-    .X(_02840_),
+ sky130_fd_sc_hd__or2_1 _12582_ (.A(_07340_),
+    .B(_07770_),
+    .X(_07801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13590_ (.A(_02840_),
-    .X(_02841_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12583_ (.A(_07801_),
+    .X(_07802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13591_ (.A(_02840_),
-    .Y(_02842_),
+ sky130_fd_sc_hd__inv_2 _12584_ (.A(_07801_),
+    .Y(_07803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13592_ (.A(_02842_),
-    .X(_02843_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12585_ (.A(_07803_),
+    .X(_07804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13593_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
-    .A2(_02841_),
-    .B1(_08546_),
-    .B2(_02843_),
+ sky130_fd_sc_hd__a22o_1 _12586_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
+    .A2(_07802_),
+    .B1(net87),
+    .B2(_07804_),
     .X(_00978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13594_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
-    .A2(_02841_),
-    .B1(_08549_),
-    .B2(_02843_),
+ sky130_fd_sc_hd__a22o_1 _12587_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
+    .A2(_07802_),
+    .B1(net88),
+    .B2(_07804_),
     .X(_00977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13595_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
-    .A2(_02841_),
-    .B1(_08550_),
-    .B2(_02843_),
+ sky130_fd_sc_hd__a22o_1 _12588_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
+    .A2(_07802_),
+    .B1(net89),
+    .B2(_07804_),
     .X(_00976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13596_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
-    .A2(_02841_),
-    .B1(_08551_),
-    .B2(_02843_),
+ sky130_fd_sc_hd__a22o_1 _12589_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
+    .A2(_07802_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07804_),
     .X(_00975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13597_ (.A(_02840_),
-    .X(_02844_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12590_ (.A(_07801_),
+    .X(_07805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13598_ (.A(_02842_),
-    .X(_02845_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12591_ (.A(_07803_),
+    .X(_07806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13599_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
-    .A2(_02844_),
-    .B1(_08553_),
-    .B2(_02845_),
+ sky130_fd_sc_hd__a22o_1 _12592_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
+    .A2(_07805_),
+    .B1(net90),
+    .B2(_07806_),
     .X(_00974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13600_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
-    .A2(_02844_),
-    .B1(_08555_),
-    .B2(_02845_),
+ sky130_fd_sc_hd__a22o_1 _12593_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
+    .A2(_07805_),
+    .B1(net91),
+    .B2(_07806_),
     .X(_00973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13601_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
-    .A2(_02844_),
-    .B1(_02838_),
-    .B2(_02845_),
+ sky130_fd_sc_hd__a22o_1 _12594_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
+    .A2(_07805_),
+    .B1(net92),
+    .B2(_07806_),
     .X(_00972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13602_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
-    .A2(_02844_),
-    .B1(_02839_),
-    .B2(_02845_),
+ sky130_fd_sc_hd__a22o_1 _12595_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
+    .A2(_07805_),
+    .B1(net93),
+    .B2(_07806_),
     .X(_00971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13603_ (.A(_08369_),
-    .X(_02846_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12596_ (.A(_07668_),
+    .X(_07807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13604_ (.A(_07925_),
-    .B(_02846_),
-    .X(_02847_),
+ sky130_fd_sc_hd__or2_4 _12597_ (.A(_07333_),
+    .B(_07807_),
+    .X(_07808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13605_ (.A(_02847_),
-    .X(_02848_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12598_ (.A(_07808_),
+    .X(_07809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13606_ (.A(_02847_),
-    .Y(_02849_),
+ sky130_fd_sc_hd__inv_2 _12599_ (.A(_07808_),
+    .Y(_07810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13607_ (.A(_02849_),
-    .X(_02850_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12600_ (.A(_07810_),
+    .X(_07811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13608_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
-    .A2(_02848_),
-    .B1(_08546_),
-    .B2(_02850_),
+ sky130_fd_sc_hd__a22o_1 _12601_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
+    .A2(_07809_),
+    .B1(net87),
+    .B2(_07811_),
     .X(_00970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13609_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
-    .A2(_02848_),
-    .B1(_08549_),
-    .B2(_02850_),
+ sky130_fd_sc_hd__a22o_1 _12602_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
+    .A2(_07809_),
+    .B1(net88),
+    .B2(_07811_),
     .X(_00969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13610_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
-    .A2(_02848_),
-    .B1(_08550_),
-    .B2(_02850_),
+ sky130_fd_sc_hd__a22o_1 _12603_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
+    .A2(_07809_),
+    .B1(net89),
+    .B2(_07811_),
     .X(_00968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13611_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
-    .A2(_02848_),
-    .B1(_08551_),
-    .B2(_02850_),
+ sky130_fd_sc_hd__a22o_1 _12604_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
+    .A2(_07809_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07811_),
     .X(_00967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13612_ (.A(_02847_),
-    .X(_02851_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12605_ (.A(_07808_),
+    .X(_07812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13613_ (.A(_02849_),
-    .X(_02852_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12606_ (.A(_07810_),
+    .X(_07813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13614_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ),
-    .A2(_02851_),
-    .B1(_08553_),
-    .B2(_02852_),
+ sky130_fd_sc_hd__a22o_1 _12607_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ),
+    .A2(_07812_),
+    .B1(net90),
+    .B2(_07813_),
     .X(_00966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13615_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
-    .A2(_02851_),
-    .B1(_08555_),
-    .B2(_02852_),
+ sky130_fd_sc_hd__a22o_1 _12608_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
+    .A2(_07812_),
+    .B1(net91),
+    .B2(_07813_),
     .X(_00965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13616_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
-    .A2(_02851_),
-    .B1(_02838_),
-    .B2(_02852_),
+ sky130_fd_sc_hd__a22o_1 _12609_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
+    .A2(_07812_),
+    .B1(net92),
+    .B2(_07813_),
     .X(_00964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13617_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
-    .A2(_02851_),
-    .B1(_02839_),
-    .B2(_02852_),
+ sky130_fd_sc_hd__a22o_1 _12610_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
+    .A2(_07812_),
+    .B1(net93),
+    .B2(_07813_),
     .X(_00963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13618_ (.A(_07955_),
-    .B(_08315_),
-    .C(_08472_),
-    .X(_02853_),
+ sky130_fd_sc_hd__or3_2 _12611_ (.A(_07354_),
+    .B(_07622_),
+    .C(_07739_),
+    .X(_07814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13619_ (.A(_02853_),
-    .X(_02854_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12612_ (.A(_07814_),
+    .X(_07815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13620_ (.A(_02853_),
-    .Y(_02855_),
+ sky130_fd_sc_hd__inv_2 _12613_ (.A(_07814_),
+    .Y(_07816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13621_ (.A(_02855_),
-    .X(_02856_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12614_ (.A(_07816_),
+    .X(_07817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13622_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
-    .A2(_02854_),
-    .B1(_08546_),
-    .B2(_02856_),
+ sky130_fd_sc_hd__a22o_1 _12615_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
+    .A2(_07815_),
+    .B1(net87),
+    .B2(_07817_),
     .X(_00962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13623_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
-    .A2(_02854_),
-    .B1(_08549_),
-    .B2(_02856_),
+ sky130_fd_sc_hd__a22o_1 _12616_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
+    .A2(_07815_),
+    .B1(net88),
+    .B2(_07817_),
     .X(_00961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13624_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
-    .A2(_02854_),
-    .B1(_08550_),
-    .B2(_02856_),
+ sky130_fd_sc_hd__a22o_1 _12617_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
+    .A2(_07815_),
+    .B1(net89),
+    .B2(_07817_),
     .X(_00960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13625_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
-    .A2(_02854_),
-    .B1(_08551_),
-    .B2(_02856_),
+ sky130_fd_sc_hd__a22o_1 _12618_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
+    .A2(_07815_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07817_),
     .X(_00959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13626_ (.A(_02853_),
-    .X(_02857_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12619_ (.A(_07814_),
+    .X(_07818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13627_ (.A(_02855_),
-    .X(_02858_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12620_ (.A(_07816_),
+    .X(_07819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13628_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
-    .A2(_02857_),
-    .B1(_08553_),
-    .B2(_02858_),
+ sky130_fd_sc_hd__a22o_1 _12621_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
+    .A2(_07818_),
+    .B1(net90),
+    .B2(_07819_),
     .X(_00958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13629_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
-    .A2(_02857_),
-    .B1(_08555_),
-    .B2(_02858_),
+ sky130_fd_sc_hd__a22o_1 _12622_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
+    .A2(_07818_),
+    .B1(net91),
+    .B2(_07819_),
     .X(_00957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13630_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
-    .A2(_02857_),
-    .B1(_02838_),
-    .B2(_02858_),
+ sky130_fd_sc_hd__a22o_1 _12623_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
+    .A2(_07818_),
+    .B1(net92),
+    .B2(_07819_),
     .X(_00956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13631_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
-    .A2(_02857_),
-    .B1(_02839_),
-    .B2(_02858_),
+ sky130_fd_sc_hd__a22o_1 _12624_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
+    .A2(_07818_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07819_),
     .X(_00955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13632_ (.A(_08170_),
-    .B(_02846_),
-    .X(_02859_),
+ sky130_fd_sc_hd__or2_1 _12625_ (.A(_07517_),
+    .B(_07807_),
+    .X(_07820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13633_ (.A(_02859_),
-    .X(_02860_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12626_ (.A(_07820_),
+    .X(_07821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13634_ (.A(_07985_),
-    .X(_02861_),
+ sky130_fd_sc_hd__inv_2 _12627_ (.A(_07820_),
+    .Y(_07822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13635_ (.A(_02859_),
-    .Y(_02862_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12628_ (.A(_07822_),
+    .X(_07823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13636_ (.A(_02862_),
-    .X(_02863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13637_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
-    .A2(_02860_),
-    .B1(_02861_),
-    .B2(_02863_),
+ sky130_fd_sc_hd__a22o_1 _12629_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
+    .A2(_07821_),
+    .B1(net87),
+    .B2(_07823_),
     .X(_00954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13638_ (.A(_07990_),
-    .X(_02864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13639_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
-    .A2(_02860_),
-    .B1(_02864_),
-    .B2(_02863_),
+ sky130_fd_sc_hd__a22o_1 _12630_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
+    .A2(_07821_),
+    .B1(net88),
+    .B2(_07823_),
     .X(_00953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13640_ (.A(_07993_),
-    .X(_02865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13641_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
-    .A2(_02860_),
-    .B1(_02865_),
-    .B2(_02863_),
+ sky130_fd_sc_hd__a22o_1 _12631_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
+    .A2(_07821_),
+    .B1(net89),
+    .B2(_07823_),
     .X(_00952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13642_ (.A(_07996_),
-    .X(_02866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13643_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
-    .A2(_02860_),
-    .B1(_02866_),
-    .B2(_02863_),
+ sky130_fd_sc_hd__a22o_1 _12632_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
+    .A2(_07821_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07823_),
     .X(_00951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13644_ (.A(_02859_),
-    .X(_02867_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12633_ (.A(_07820_),
+    .X(_07824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13645_ (.A(_08000_),
-    .X(_02868_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12634_ (.A(_07822_),
+    .X(_07825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13646_ (.A(_02862_),
-    .X(_02869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13647_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
-    .A2(_02867_),
-    .B1(_02868_),
-    .B2(_02869_),
+ sky130_fd_sc_hd__a22o_1 _12635_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
+    .A2(_07824_),
+    .B1(net90),
+    .B2(_07825_),
     .X(_00950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13648_ (.A(_08004_),
-    .X(_02870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13649_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
-    .A2(_02867_),
-    .B1(_02870_),
-    .B2(_02869_),
+ sky130_fd_sc_hd__a22o_1 _12636_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
+    .A2(_07824_),
+    .B1(net91),
+    .B2(_07825_),
     .X(_00949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13650_ (.A(_08007_),
-    .X(_02871_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13651_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
-    .A2(_02867_),
-    .B1(_02871_),
-    .B2(_02869_),
+ sky130_fd_sc_hd__a22o_1 _12637_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
+    .A2(_07824_),
+    .B1(net92),
+    .B2(_07825_),
     .X(_00948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13652_ (.A(_08010_),
-    .X(_02872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13653_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
-    .A2(_02867_),
-    .B1(_02872_),
-    .B2(_02869_),
+ sky130_fd_sc_hd__a22o_1 _12638_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
+    .A2(_07824_),
+    .B1(net93),
+    .B2(_07825_),
     .X(_00947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13654_ (.A(_06741_),
-    .B(_02846_),
-    .X(_02873_),
+ sky130_fd_sc_hd__or2_2 _12639_ (.A(_06391_),
+    .B(_07807_),
+    .X(_07826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13655_ (.A(_02873_),
-    .X(_02874_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12640_ (.A(_07826_),
+    .X(_07827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13656_ (.A(_02873_),
-    .Y(_02875_),
+ sky130_fd_sc_hd__inv_2 _12641_ (.A(_07826_),
+    .Y(_07828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13657_ (.A(_02875_),
-    .X(_02876_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12642_ (.A(_07828_),
+    .X(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13658_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
-    .A2(_02874_),
-    .B1(_02861_),
-    .B2(_02876_),
+ sky130_fd_sc_hd__a22o_1 _12643_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
+    .A2(_07827_),
+    .B1(net87),
+    .B2(_07829_),
     .X(_00946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13659_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
-    .A2(_02874_),
-    .B1(_02864_),
-    .B2(_02876_),
+ sky130_fd_sc_hd__a22o_1 _12644_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
+    .A2(_07827_),
+    .B1(net88),
+    .B2(_07829_),
     .X(_00945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13660_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
-    .A2(_02874_),
-    .B1(_02865_),
-    .B2(_02876_),
+ sky130_fd_sc_hd__a22o_1 _12645_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
+    .A2(_07827_),
+    .B1(net89),
+    .B2(_07829_),
     .X(_00944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13661_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
-    .A2(_02874_),
-    .B1(_02866_),
-    .B2(_02876_),
+ sky130_fd_sc_hd__a22o_1 _12646_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
+    .A2(_07827_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07829_),
     .X(_00943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13662_ (.A(_02873_),
-    .X(_02877_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12647_ (.A(_07826_),
+    .X(_07830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13663_ (.A(_02875_),
-    .X(_02878_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12648_ (.A(_07828_),
+    .X(_07831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13664_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
-    .A2(_02877_),
-    .B1(_02868_),
-    .B2(_02878_),
+ sky130_fd_sc_hd__a22o_1 _12649_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
+    .A2(_07830_),
+    .B1(net90),
+    .B2(_07831_),
     .X(_00942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13665_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
-    .A2(_02877_),
-    .B1(_02870_),
-    .B2(_02878_),
+ sky130_fd_sc_hd__a22o_1 _12650_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
+    .A2(_07830_),
+    .B1(net91),
+    .B2(_07831_),
     .X(_00941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13666_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
-    .A2(_02877_),
-    .B1(_02871_),
-    .B2(_02878_),
+ sky130_fd_sc_hd__a22o_1 _12651_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
+    .A2(_07830_),
+    .B1(net92),
+    .B2(_07831_),
     .X(_00940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13667_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
-    .A2(_02877_),
-    .B1(_02872_),
-    .B2(_02878_),
+ sky130_fd_sc_hd__a22o_1 _12652_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
+    .A2(_07830_),
+    .B1(net93),
+    .B2(_07831_),
     .X(_00939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13668_ (.A(_07922_),
-    .B(_08186_),
-    .X(_02879_),
+ sky130_fd_sc_hd__or2_1 _12653_ (.A(_07330_),
+    .B(_07533_),
+    .X(_07832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13669_ (.A(_02879_),
-    .X(_02880_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12654_ (.A(_07832_),
+    .X(_07833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13670_ (.A(_02879_),
-    .Y(_02881_),
+ sky130_fd_sc_hd__inv_2 _12655_ (.A(_07832_),
+    .Y(_07834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13671_ (.A(_02881_),
-    .X(_02882_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12656_ (.A(_07834_),
+    .X(_07835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13672_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
-    .A2(_02880_),
-    .B1(_02861_),
-    .B2(_02882_),
+ sky130_fd_sc_hd__a22o_1 _12657_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
+    .A2(_07833_),
+    .B1(net87),
+    .B2(_07835_),
     .X(_00938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13673_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
-    .A2(_02880_),
-    .B1(_02864_),
-    .B2(_02882_),
+ sky130_fd_sc_hd__a22o_1 _12658_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
+    .A2(_07833_),
+    .B1(net88),
+    .B2(_07835_),
     .X(_00937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13674_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
-    .A2(_02880_),
-    .B1(_02865_),
-    .B2(_02882_),
+ sky130_fd_sc_hd__a22o_1 _12659_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
+    .A2(_07833_),
+    .B1(net89),
+    .B2(_07835_),
     .X(_00936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13675_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
-    .A2(_02880_),
-    .B1(_02866_),
-    .B2(_02882_),
+ sky130_fd_sc_hd__a22o_1 _12660_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
+    .A2(_07833_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07835_),
     .X(_00935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13676_ (.A(_02879_),
-    .X(_02883_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12661_ (.A(_07832_),
+    .X(_07836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13677_ (.A(_02881_),
-    .X(_02884_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12662_ (.A(_07834_),
+    .X(_07837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13678_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
-    .A2(_02883_),
-    .B1(_02868_),
-    .B2(_02884_),
+ sky130_fd_sc_hd__a22o_1 _12663_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
+    .A2(_07836_),
+    .B1(net90),
+    .B2(_07837_),
     .X(_00934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13679_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
-    .A2(_02883_),
-    .B1(_02870_),
-    .B2(_02884_),
+ sky130_fd_sc_hd__a22o_1 _12664_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
+    .A2(_07836_),
+    .B1(net91),
+    .B2(_07837_),
     .X(_00933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13680_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
-    .A2(_02883_),
-    .B1(_02871_),
-    .B2(_02884_),
+ sky130_fd_sc_hd__a22o_1 _12665_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
+    .A2(_07836_),
+    .B1(net92),
+    .B2(_07837_),
     .X(_00932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13681_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
-    .A2(_02883_),
-    .B1(_02872_),
-    .B2(_02884_),
+ sky130_fd_sc_hd__a22o_1 _12666_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
+    .A2(_07836_),
+    .B1(net93),
+    .B2(_07837_),
     .X(_00931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13682_ (.A(_08179_),
-    .B(_02846_),
-    .X(_02885_),
+ sky130_fd_sc_hd__or2_1 _12667_ (.A(_07526_),
+    .B(_07807_),
+    .X(_07838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13683_ (.A(_02885_),
-    .X(_02886_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12668_ (.A(_07838_),
+    .X(_07839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13684_ (.A(_02885_),
-    .Y(_02887_),
+ sky130_fd_sc_hd__inv_2 _12669_ (.A(_07838_),
+    .Y(_07840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13685_ (.A(_02887_),
-    .X(_02888_),
+ sky130_fd_sc_hd__clkbuf_1 _12670_ (.A(_07840_),
+    .X(_07841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13686_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
-    .A2(_02886_),
-    .B1(_02861_),
-    .B2(_02888_),
+ sky130_fd_sc_hd__a22o_1 _12671_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
+    .A2(_07839_),
+    .B1(net87),
+    .B2(_07841_),
     .X(_00930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13687_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
-    .A2(_02886_),
-    .B1(_02864_),
-    .B2(_02888_),
+ sky130_fd_sc_hd__a22o_1 _12672_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
+    .A2(_07839_),
+    .B1(net88),
+    .B2(_07841_),
     .X(_00929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13688_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
-    .A2(_02886_),
-    .B1(_02865_),
-    .B2(_02888_),
+ sky130_fd_sc_hd__a22o_1 _12673_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
+    .A2(_07839_),
+    .B1(net89),
+    .B2(_07841_),
     .X(_00928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13689_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
-    .A2(_02886_),
-    .B1(_02866_),
-    .B2(_02888_),
+ sky130_fd_sc_hd__a22o_1 _12674_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
+    .A2(_07839_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07841_),
     .X(_00927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13690_ (.A(_02885_),
-    .X(_02889_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12675_ (.A(_07838_),
+    .X(_07842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13691_ (.A(_02887_),
-    .X(_02890_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12676_ (.A(_07840_),
+    .X(_07843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13692_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
-    .A2(_02889_),
-    .B1(_02868_),
-    .B2(_02890_),
+ sky130_fd_sc_hd__a22o_1 _12677_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
+    .A2(_07842_),
+    .B1(net90),
+    .B2(_07843_),
     .X(_00926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13693_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
-    .A2(_02889_),
-    .B1(_02870_),
-    .B2(_02890_),
+ sky130_fd_sc_hd__a22o_1 _12678_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
+    .A2(_07842_),
+    .B1(net91),
+    .B2(_07843_),
     .X(_00925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13694_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
-    .A2(_02889_),
-    .B1(_02871_),
-    .B2(_02890_),
+ sky130_fd_sc_hd__a22o_1 _12679_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
+    .A2(_07842_),
+    .B1(net92),
+    .B2(_07843_),
     .X(_00924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13695_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
-    .A2(_02889_),
-    .B1(_02872_),
-    .B2(_02890_),
+ sky130_fd_sc_hd__a22o_1 _12680_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
+    .A2(_07842_),
+    .B1(net93),
+    .B2(_07843_),
     .X(_00923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13696_ (.A(_07922_),
-    .B(_08179_),
-    .X(_02891_),
+ sky130_fd_sc_hd__or2_2 _12681_ (.A(_07330_),
+    .B(_07526_),
+    .X(_07844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13697_ (.A(_02891_),
-    .X(_02892_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12682_ (.A(_07844_),
+    .X(_07845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13698_ (.A(_02891_),
-    .Y(_02893_),
+ sky130_fd_sc_hd__inv_2 _12683_ (.A(_07844_),
+    .Y(_07846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13699_ (.A(_02893_),
-    .X(_02894_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12684_ (.A(_07846_),
+    .X(_07847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13700_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
-    .A2(_02892_),
-    .B1(_05933_),
-    .B2(_02894_),
+ sky130_fd_sc_hd__a22o_1 _12685_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
+    .A2(_07845_),
+    .B1(net87),
+    .B2(_07847_),
     .X(_00922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13701_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
-    .A2(_02892_),
-    .B1(_05936_),
-    .B2(_02894_),
+ sky130_fd_sc_hd__a22o_1 _12686_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
+    .A2(_07845_),
+    .B1(net88),
+    .B2(_07847_),
     .X(_00921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13702_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
-    .A2(_02892_),
-    .B1(_05938_),
-    .B2(_02894_),
+ sky130_fd_sc_hd__a22o_1 _12687_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
+    .A2(_07845_),
+    .B1(net89),
+    .B2(_07847_),
     .X(_00920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13703_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
-    .A2(_02892_),
-    .B1(_05941_),
-    .B2(_02894_),
+ sky130_fd_sc_hd__a22o_1 _12688_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
+    .A2(_07845_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .B2(_07847_),
     .X(_00919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13704_ (.A(_02891_),
-    .X(_02895_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12689_ (.A(_07844_),
+    .X(_07848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13705_ (.A(_02893_),
-    .X(_02896_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12690_ (.A(_07846_),
+    .X(_07849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13706_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
-    .A2(_02895_),
-    .B1(_05943_),
-    .B2(_02896_),
+ sky130_fd_sc_hd__a22o_1 _12691_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
+    .A2(_07848_),
+    .B1(net90),
+    .B2(_07849_),
     .X(_00918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13707_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
-    .A2(_02895_),
-    .B1(_05946_),
-    .B2(_02896_),
+ sky130_fd_sc_hd__a22o_1 _12692_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
+    .A2(_07848_),
+    .B1(net91),
+    .B2(_07849_),
     .X(_00917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13708_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
-    .A2(_02895_),
-    .B1(_05948_),
-    .B2(_02896_),
+ sky130_fd_sc_hd__a22o_1 _12693_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
+    .A2(_07848_),
+    .B1(net92),
+    .B2(_07849_),
     .X(_00916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13709_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
-    .A2(_02895_),
-    .B1(_05950_),
-    .B2(_02896_),
+ sky130_fd_sc_hd__a22o_1 _12694_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
+    .A2(_07848_),
+    .B1(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .B2(_07849_),
     .X(_00915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13710_ (.A(_07007_),
-    .B(_07135_),
-    .C(_07261_),
-    .X(_02897_),
+ sky130_fd_sc_hd__or3_1 _12695_ (.A(_06627_),
+    .B(_06756_),
+    .C(_06840_),
+    .X(_07850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13711_ (.A(_02897_),
-    .X(_02898_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12696_ (.A(_07850_),
+    .X(_07851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13712_ (.A(_02897_),
-    .Y(_02899_),
+ sky130_fd_sc_hd__inv_2 _12697_ (.A(_07850_),
+    .Y(_07852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13713_ (.A(_02899_),
-    .X(_02900_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12698_ (.A(_07852_),
+    .X(_07853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13714_ (.A1(\u_uart_core.u_txfifo.mem[12][7] ),
-    .A2(_02898_),
-    .B1(_08157_),
-    .B2(_02900_),
+ sky130_fd_sc_hd__a22o_1 _12699_ (.A1(\u_uart_core.u_txfifo.mem[12][7] ),
+    .A2(_07851_),
+    .B1(net39),
+    .B2(_07853_),
     .X(_00914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13715_ (.A1(\u_uart_core.u_txfifo.mem[12][6] ),
-    .A2(_02898_),
-    .B1(_08160_),
-    .B2(_02900_),
+ sky130_fd_sc_hd__a22o_1 _12700_ (.A1(\u_uart_core.u_txfifo.mem[12][6] ),
+    .A2(_07851_),
+    .B1(net38),
+    .B2(_07853_),
     .X(_00913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13716_ (.A1(\u_uart_core.u_txfifo.mem[12][5] ),
-    .A2(_02898_),
-    .B1(_08161_),
-    .B2(_02900_),
+ sky130_fd_sc_hd__a22o_1 _12701_ (.A1(\u_uart_core.u_txfifo.mem[12][5] ),
+    .A2(_07851_),
+    .B1(net37),
+    .B2(_07853_),
     .X(_00912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13717_ (.A1(\u_uart_core.u_txfifo.mem[12][4] ),
-    .A2(_02898_),
-    .B1(_05632_),
-    .B2(_02900_),
+ sky130_fd_sc_hd__a22o_1 _12702_ (.A1(\u_uart_core.u_txfifo.mem[12][4] ),
+    .A2(_07851_),
+    .B1(net36),
+    .B2(_07853_),
     .X(_00911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13718_ (.A(_02897_),
-    .X(_02901_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12703_ (.A(_07850_),
+    .X(_07854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13719_ (.A(_02899_),
-    .X(_02902_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12704_ (.A(_07852_),
+    .X(_07855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13720_ (.A1(\u_uart_core.u_txfifo.mem[12][3] ),
-    .A2(_02901_),
-    .B1(_05604_),
-    .B2(_02902_),
+ sky130_fd_sc_hd__a22o_1 _12705_ (.A1(\u_uart_core.u_txfifo.mem[12][3] ),
+    .A2(_07854_),
+    .B1(net35),
+    .B2(_07855_),
     .X(_00910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13721_ (.A1(\u_uart_core.u_txfifo.mem[12][2] ),
-    .A2(_02901_),
-    .B1(_07043_),
-    .B2(_02902_),
+ sky130_fd_sc_hd__a22o_1 _12706_ (.A1(\u_uart_core.u_txfifo.mem[12][2] ),
+    .A2(_07854_),
+    .B1(net32),
+    .B2(_07855_),
     .X(_00909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13722_ (.A1(\u_uart_core.u_txfifo.mem[12][1] ),
-    .A2(_02901_),
-    .B1(_07046_),
-    .B2(_02902_),
+ sky130_fd_sc_hd__a22o_1 _12707_ (.A1(\u_uart_core.u_txfifo.mem[12][1] ),
+    .A2(_07854_),
+    .B1(net25),
+    .B2(_07855_),
     .X(_00908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13723_ (.A1(\u_uart_core.u_txfifo.mem[12][0] ),
-    .A2(_02901_),
-    .B1(_07048_),
-    .B2(_02902_),
+ sky130_fd_sc_hd__a22o_1 _12708_ (.A1(\u_uart_core.u_txfifo.mem[12][0] ),
+    .A2(_07854_),
+    .B1(net14),
+    .B2(_07855_),
     .X(_00907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13724_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
-    .X(_02903_),
+ sky130_fd_sc_hd__nand2_1 _12709_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
+    .B(_05486_),
+    .Y(_07856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _13725_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
-    .B(_05750_),
-    .Y(_02904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _13726_ (.A1(_02903_),
-    .A2(_05750_),
+ sky130_fd_sc_hd__a32o_1 _12710_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
+    .A2(_05486_),
     .A3(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
     .B1(\u_i2cm.u_byte_ctrl.core_rxd ),
-    .B2(_02904_),
+    .B2(_07856_),
     .X(_00906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13727_ (.A(_07002_),
-    .B(_07004_),
-    .C(_07231_),
-    .X(_02905_),
+ sky130_fd_sc_hd__or3_4 _12711_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .C(_06823_),
+    .X(_07857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13728_ (.A(_02905_),
-    .X(_02906_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12712_ (.A(_07857_),
+    .X(_07858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13729_ (.A(_02905_),
-    .Y(_02907_),
+ sky130_fd_sc_hd__inv_2 _12713_ (.A(_07857_),
+    .Y(_07859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13730_ (.A(_02907_),
-    .X(_02908_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12714_ (.A(_07859_),
+    .X(_07860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13731_ (.A1(\u_uart_core.u_txfifo.mem[3][7] ),
-    .A2(_02906_),
-    .B1(_05624_),
-    .B2(_02908_),
+ sky130_fd_sc_hd__a22o_1 _12715_ (.A1(\u_uart_core.u_txfifo.mem[3][7] ),
+    .A2(_07858_),
+    .B1(net39),
+    .B2(_07860_),
     .X(_00905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13732_ (.A1(\u_uart_core.u_txfifo.mem[3][6] ),
-    .A2(_02906_),
-    .B1(_05628_),
-    .B2(_02908_),
+ sky130_fd_sc_hd__a22o_1 _12716_ (.A1(\u_uart_core.u_txfifo.mem[3][6] ),
+    .A2(_07858_),
+    .B1(net38),
+    .B2(_07860_),
     .X(_00904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13733_ (.A1(\u_uart_core.u_txfifo.mem[3][5] ),
-    .A2(_02906_),
-    .B1(_05630_),
-    .B2(_02908_),
+ sky130_fd_sc_hd__a22o_1 _12717_ (.A1(\u_uart_core.u_txfifo.mem[3][5] ),
+    .A2(_07858_),
+    .B1(net37),
+    .B2(_07860_),
     .X(_00903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13734_ (.A1(\u_uart_core.u_txfifo.mem[3][4] ),
-    .A2(_02906_),
-    .B1(_05632_),
-    .B2(_02908_),
+ sky130_fd_sc_hd__a22o_1 _12718_ (.A1(\u_uart_core.u_txfifo.mem[3][4] ),
+    .A2(_07858_),
+    .B1(net36),
+    .B2(_07860_),
     .X(_00902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13735_ (.A(_02905_),
-    .X(_02909_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12719_ (.A(_07857_),
+    .X(_07861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13736_ (.A(_02907_),
-    .X(_02910_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12720_ (.A(_07859_),
+    .X(_07862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13737_ (.A1(\u_uart_core.u_txfifo.mem[3][3] ),
-    .A2(_02909_),
-    .B1(_05604_),
-    .B2(_02910_),
+ sky130_fd_sc_hd__a22o_1 _12721_ (.A1(\u_uart_core.u_txfifo.mem[3][3] ),
+    .A2(_07861_),
+    .B1(net35),
+    .B2(_07862_),
     .X(_00901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13738_ (.A1(\u_uart_core.u_txfifo.mem[3][2] ),
-    .A2(_02909_),
-    .B1(_07043_),
-    .B2(_02910_),
+ sky130_fd_sc_hd__a22o_1 _12722_ (.A1(\u_uart_core.u_txfifo.mem[3][2] ),
+    .A2(_07861_),
+    .B1(net32),
+    .B2(_07862_),
     .X(_00900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13739_ (.A1(\u_uart_core.u_txfifo.mem[3][1] ),
-    .A2(_02909_),
-    .B1(_07046_),
-    .B2(_02910_),
+ sky130_fd_sc_hd__a22o_1 _12723_ (.A1(\u_uart_core.u_txfifo.mem[3][1] ),
+    .A2(_07861_),
+    .B1(net25),
+    .B2(_07862_),
     .X(_00899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13740_ (.A1(\u_uart_core.u_txfifo.mem[3][0] ),
-    .A2(_02909_),
-    .B1(_07048_),
-    .B2(_02910_),
+ sky130_fd_sc_hd__a22o_1 _12724_ (.A1(\u_uart_core.u_txfifo.mem[3][0] ),
+    .A2(_07861_),
+    .B1(net14),
+    .B2(_07862_),
     .X(_00898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13741_ (.A(_07170_),
-    .X(_02911_),
+ sky130_fd_sc_hd__or3_1 _12725_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .C(_06815_),
+    .X(_07863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13742_ (.A(_02911_),
-    .B(_06965_),
-    .C(_07223_),
-    .X(_02912_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12726_ (.A(_07863_),
+    .X(_07864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13743_ (.A(_02912_),
-    .X(_02913_),
+ sky130_fd_sc_hd__inv_2 _12727_ (.A(_07863_),
+    .Y(_07865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13744_ (.A(_02912_),
-    .Y(_02914_),
+ sky130_fd_sc_hd__clkbuf_2 _12728_ (.A(_07865_),
+    .X(_07866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13745_ (.A(_02914_),
-    .X(_02915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13746_ (.A1(\u_uart_core.u_rxfifo.mem[8][7] ),
-    .A2(_02913_),
-    .B1(_07240_),
-    .B2(_02915_),
+ sky130_fd_sc_hd__a22o_1 _12729_ (.A1(\u_uart_core.u_rxfifo.mem[8][7] ),
+    .A2(_07864_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07866_),
     .X(_00897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13747_ (.A1(\u_uart_core.u_rxfifo.mem[8][6] ),
-    .A2(_02913_),
-    .B1(_07243_),
-    .B2(_02915_),
+ sky130_fd_sc_hd__a22o_1 _12730_ (.A1(\u_uart_core.u_rxfifo.mem[8][6] ),
+    .A2(_07864_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07866_),
     .X(_00896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13748_ (.A1(\u_uart_core.u_rxfifo.mem[8][5] ),
-    .A2(_02913_),
-    .B1(_07244_),
-    .B2(_02915_),
+ sky130_fd_sc_hd__a22o_1 _12731_ (.A1(\u_uart_core.u_rxfifo.mem[8][5] ),
+    .A2(_07864_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07866_),
     .X(_00895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13749_ (.A1(\u_uart_core.u_rxfifo.mem[8][4] ),
-    .A2(_02913_),
-    .B1(_07245_),
-    .B2(_02915_),
+ sky130_fd_sc_hd__a22o_1 _12732_ (.A1(\u_uart_core.u_rxfifo.mem[8][4] ),
+    .A2(_07864_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07866_),
     .X(_00894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13750_ (.A(_02912_),
-    .X(_02916_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12733_ (.A(_07863_),
+    .X(_07867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13751_ (.A(_02914_),
-    .X(_02917_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12734_ (.A(_07865_),
+    .X(_07868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13752_ (.A1(\u_uart_core.u_rxfifo.mem[8][3] ),
-    .A2(_02916_),
-    .B1(_07247_),
-    .B2(_02917_),
+ sky130_fd_sc_hd__a22o_1 _12735_ (.A1(\u_uart_core.u_rxfifo.mem[8][3] ),
+    .A2(_07867_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07868_),
     .X(_00893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13753_ (.A1(\u_uart_core.u_rxfifo.mem[8][2] ),
-    .A2(_02916_),
-    .B1(_07249_),
-    .B2(_02917_),
+ sky130_fd_sc_hd__a22o_1 _12736_ (.A1(\u_uart_core.u_rxfifo.mem[8][2] ),
+    .A2(_07867_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07868_),
     .X(_00892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13754_ (.A1(\u_uart_core.u_rxfifo.mem[8][1] ),
-    .A2(_02916_),
-    .B1(_07250_),
-    .B2(_02917_),
+ sky130_fd_sc_hd__a22o_1 _12737_ (.A1(\u_uart_core.u_rxfifo.mem[8][1] ),
+    .A2(_07867_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07868_),
     .X(_00891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13755_ (.A1(\u_uart_core.u_rxfifo.mem[8][0] ),
-    .A2(_02916_),
-    .B1(_07251_),
-    .B2(_02917_),
+ sky130_fd_sc_hd__a22o_1 _12738_ (.A1(\u_uart_core.u_rxfifo.mem[8][0] ),
+    .A2(_07867_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07868_),
     .X(_00890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13756_ (.A(_06960_),
-    .X(_02918_),
+ sky130_fd_sc_hd__clkbuf_2 _12739_ (.A(_06586_),
+    .X(_07869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13757_ (.A1(\u_uart_core.u_rxfifo.mem[7][7] ),
-    .A2(_02918_),
-    .B1(_07240_),
-    .B2(_06962_),
+ sky130_fd_sc_hd__a22o_1 _12740_ (.A1(\u_uart_core.u_rxfifo.mem[7][7] ),
+    .A2(_07869_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_06588_),
     .X(_00889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13758_ (.A1(\u_uart_core.u_rxfifo.mem[7][6] ),
-    .A2(_02918_),
-    .B1(_07243_),
-    .B2(_06962_),
+ sky130_fd_sc_hd__a22o_1 _12741_ (.A1(\u_uart_core.u_rxfifo.mem[7][6] ),
+    .A2(_07869_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_06588_),
     .X(_00888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13759_ (.A1(\u_uart_core.u_rxfifo.mem[7][5] ),
-    .A2(_02918_),
-    .B1(_07244_),
-    .B2(_06962_),
+ sky130_fd_sc_hd__a22o_1 _12742_ (.A1(\u_uart_core.u_rxfifo.mem[7][5] ),
+    .A2(_07869_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_06588_),
     .X(_00887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13760_ (.A(_06961_),
-    .X(_02919_),
+ sky130_fd_sc_hd__clkbuf_2 _12743_ (.A(_06587_),
+    .X(_07870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13761_ (.A1(\u_uart_core.u_rxfifo.mem[7][4] ),
-    .A2(_02918_),
-    .B1(_07245_),
-    .B2(_02919_),
+ sky130_fd_sc_hd__a22o_1 _12744_ (.A1(\u_uart_core.u_rxfifo.mem[7][4] ),
+    .A2(_07869_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07870_),
     .X(_00886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13762_ (.A(_06960_),
-    .X(_02920_),
+ sky130_fd_sc_hd__clkbuf_2 _12745_ (.A(_06586_),
+    .X(_07871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13763_ (.A1(\u_uart_core.u_rxfifo.mem[7][3] ),
-    .A2(_02920_),
-    .B1(_07247_),
-    .B2(_02919_),
+ sky130_fd_sc_hd__a22o_1 _12746_ (.A1(\u_uart_core.u_rxfifo.mem[7][3] ),
+    .A2(_07871_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07870_),
     .X(_00885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13764_ (.A1(\u_uart_core.u_rxfifo.mem[7][2] ),
-    .A2(_02920_),
-    .B1(_07249_),
-    .B2(_02919_),
+ sky130_fd_sc_hd__a22o_1 _12747_ (.A1(\u_uart_core.u_rxfifo.mem[7][2] ),
+    .A2(_07871_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07870_),
     .X(_00884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13765_ (.A1(\u_uart_core.u_rxfifo.mem[7][1] ),
-    .A2(_02920_),
-    .B1(_07250_),
-    .B2(_02919_),
+ sky130_fd_sc_hd__a22o_1 _12748_ (.A1(\u_uart_core.u_rxfifo.mem[7][1] ),
+    .A2(_07871_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07870_),
     .X(_00883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13766_ (.A1(\u_uart_core.u_rxfifo.mem[7][0] ),
-    .A2(_02920_),
-    .B1(_07251_),
-    .B2(_06961_),
+ sky130_fd_sc_hd__a22o_1 _12749_ (.A1(\u_uart_core.u_rxfifo.mem[7][0] ),
+    .A2(_07871_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_06587_),
     .X(_00882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13767_ (.A(_02911_),
-    .B(_06967_),
-    .C(_07145_),
-    .X(_02921_),
+ sky130_fd_sc_hd__or3_4 _12750_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .B(_06591_),
+    .C(_06748_),
+    .X(_07872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13768_ (.A(_02921_),
-    .X(_02922_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12751_ (.A(_07872_),
+    .X(_07873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13769_ (.A(_05814_),
-    .X(_02923_),
+ sky130_fd_sc_hd__inv_2 _12752_ (.A(_07872_),
+    .Y(_07874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13770_ (.A(_02921_),
-    .Y(_02924_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12753_ (.A(_07874_),
+    .X(_07875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13771_ (.A(_02924_),
-    .X(_02925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13772_ (.A1(\u_uart_core.u_rxfifo.mem[1][7] ),
-    .A2(_02922_),
-    .B1(_02923_),
-    .B2(_02925_),
+ sky130_fd_sc_hd__a22o_1 _12754_ (.A1(\u_uart_core.u_rxfifo.mem[1][7] ),
+    .A2(_07873_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07875_),
     .X(_00881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13773_ (.A(_05841_),
-    .X(_02926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13774_ (.A1(\u_uart_core.u_rxfifo.mem[1][6] ),
-    .A2(_02922_),
-    .B1(_02926_),
-    .B2(_02925_),
+ sky130_fd_sc_hd__a22o_1 _12755_ (.A1(\u_uart_core.u_rxfifo.mem[1][6] ),
+    .A2(_07873_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07875_),
     .X(_00880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13775_ (.A(_05845_),
-    .X(_02927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13776_ (.A1(\u_uart_core.u_rxfifo.mem[1][5] ),
-    .A2(_02922_),
-    .B1(_02927_),
-    .B2(_02925_),
+ sky130_fd_sc_hd__a22o_1 _12756_ (.A1(\u_uart_core.u_rxfifo.mem[1][5] ),
+    .A2(_07873_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07875_),
     .X(_00879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13777_ (.A(_05849_),
-    .X(_02928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13778_ (.A1(\u_uart_core.u_rxfifo.mem[1][4] ),
-    .A2(_02922_),
-    .B1(_02928_),
-    .B2(_02925_),
+ sky130_fd_sc_hd__a22o_1 _12757_ (.A1(\u_uart_core.u_rxfifo.mem[1][4] ),
+    .A2(_07873_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07875_),
     .X(_00878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13779_ (.A(_02921_),
-    .X(_02929_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12758_ (.A(_07872_),
+    .X(_07876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13780_ (.A(_05852_),
-    .X(_02930_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12759_ (.A(_07874_),
+    .X(_07877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13781_ (.A(_02924_),
-    .X(_02931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13782_ (.A1(\u_uart_core.u_rxfifo.mem[1][3] ),
-    .A2(_02929_),
-    .B1(_02930_),
-    .B2(_02931_),
+ sky130_fd_sc_hd__a22o_1 _12760_ (.A1(\u_uart_core.u_rxfifo.mem[1][3] ),
+    .A2(_07876_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07877_),
     .X(_00877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13783_ (.A(_05855_),
-    .X(_02932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13784_ (.A1(\u_uart_core.u_rxfifo.mem[1][2] ),
-    .A2(_02929_),
-    .B1(_02932_),
-    .B2(_02931_),
+ sky130_fd_sc_hd__a22o_1 _12761_ (.A1(\u_uart_core.u_rxfifo.mem[1][2] ),
+    .A2(_07876_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07877_),
     .X(_00876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13785_ (.A(_05857_),
-    .X(_02933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13786_ (.A1(\u_uart_core.u_rxfifo.mem[1][1] ),
-    .A2(_02929_),
-    .B1(_02933_),
-    .B2(_02931_),
+ sky130_fd_sc_hd__a22o_1 _12762_ (.A1(\u_uart_core.u_rxfifo.mem[1][1] ),
+    .A2(_07876_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07877_),
     .X(_00875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13787_ (.A(_05859_),
-    .X(_02934_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13788_ (.A1(\u_uart_core.u_rxfifo.mem[1][0] ),
-    .A2(_02929_),
-    .B1(_02934_),
-    .B2(_02931_),
+ sky130_fd_sc_hd__a22o_1 _12763_ (.A1(\u_uart_core.u_rxfifo.mem[1][0] ),
+    .A2(_07876_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07877_),
     .X(_00874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13789_ (.A(_06964_),
-    .X(_02935_),
+ sky130_fd_sc_hd__or3_2 _12764_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .C(_06748_),
+    .X(_07878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _13790_ (.A(_02911_),
-    .B(_02935_),
-    .C(_07145_),
-    .X(_02936_),
+ sky130_fd_sc_hd__clkbuf_2 _12765_ (.A(_07878_),
+    .X(_07879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13791_ (.A(_02936_),
-    .X(_02937_),
+ sky130_fd_sc_hd__inv_2 _12766_ (.A(_07878_),
+    .Y(_07880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13792_ (.A(_02936_),
-    .Y(_02938_),
+ sky130_fd_sc_hd__clkbuf_2 _12767_ (.A(_07880_),
+    .X(_07881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13793_ (.A(_02938_),
-    .X(_02939_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13794_ (.A1(\u_uart_core.u_rxfifo.mem[0][7] ),
-    .A2(_02937_),
-    .B1(_02923_),
-    .B2(_02939_),
+ sky130_fd_sc_hd__a22o_1 _12768_ (.A1(\u_uart_core.u_rxfifo.mem[0][7] ),
+    .A2(_07879_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07881_),
     .X(_00873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13795_ (.A1(\u_uart_core.u_rxfifo.mem[0][6] ),
-    .A2(_02937_),
-    .B1(_02926_),
-    .B2(_02939_),
+ sky130_fd_sc_hd__a22o_1 _12769_ (.A1(\u_uart_core.u_rxfifo.mem[0][6] ),
+    .A2(_07879_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07881_),
     .X(_00872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13796_ (.A1(\u_uart_core.u_rxfifo.mem[0][5] ),
-    .A2(_02937_),
-    .B1(_02927_),
-    .B2(_02939_),
+ sky130_fd_sc_hd__a22o_1 _12770_ (.A1(\u_uart_core.u_rxfifo.mem[0][5] ),
+    .A2(_07879_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07881_),
     .X(_00871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13797_ (.A1(\u_uart_core.u_rxfifo.mem[0][4] ),
-    .A2(_02937_),
-    .B1(_02928_),
-    .B2(_02939_),
+ sky130_fd_sc_hd__a22o_1 _12771_ (.A1(\u_uart_core.u_rxfifo.mem[0][4] ),
+    .A2(_07879_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07881_),
     .X(_00870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13798_ (.A(_02936_),
-    .X(_02940_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12772_ (.A(_07878_),
+    .X(_07882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13799_ (.A(_02938_),
-    .X(_02941_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12773_ (.A(_07880_),
+    .X(_07883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13800_ (.A1(\u_uart_core.u_rxfifo.mem[0][3] ),
-    .A2(_02940_),
-    .B1(_02930_),
-    .B2(_02941_),
+ sky130_fd_sc_hd__a22o_1 _12774_ (.A1(\u_uart_core.u_rxfifo.mem[0][3] ),
+    .A2(_07882_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07883_),
     .X(_00869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13801_ (.A1(\u_uart_core.u_rxfifo.mem[0][2] ),
-    .A2(_02940_),
-    .B1(_02932_),
-    .B2(_02941_),
+ sky130_fd_sc_hd__a22o_1 _12775_ (.A1(\u_uart_core.u_rxfifo.mem[0][2] ),
+    .A2(_07882_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07883_),
     .X(_00868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13802_ (.A1(\u_uart_core.u_rxfifo.mem[0][1] ),
-    .A2(_02940_),
-    .B1(_02933_),
-    .B2(_02941_),
+ sky130_fd_sc_hd__a22o_1 _12776_ (.A1(\u_uart_core.u_rxfifo.mem[0][1] ),
+    .A2(_07882_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07883_),
     .X(_00867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13803_ (.A1(\u_uart_core.u_rxfifo.mem[0][0] ),
-    .A2(_02940_),
-    .B1(_02934_),
-    .B2(_02941_),
+ sky130_fd_sc_hd__a22o_1 _12777_ (.A1(\u_uart_core.u_rxfifo.mem[0][0] ),
+    .A2(_07882_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07883_),
     .X(_00866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _13804_ (.A(_06939_),
-    .B(_07171_),
-    .C(_07170_),
-    .D(_06964_),
-    .X(_02942_),
+ sky130_fd_sc_hd__or3_1 _12778_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .C(_06566_),
+    .X(_07884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13805_ (.A(_02942_),
-    .X(_02943_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12779_ (.A(_07884_),
+    .X(_07885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13806_ (.A(_02942_),
-    .Y(_02944_),
+ sky130_fd_sc_hd__inv_2 _12780_ (.A(_07884_),
+    .Y(_07886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13807_ (.A(_02944_),
-    .X(_02945_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12781_ (.A(_07886_),
+    .X(_07887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13808_ (.A1(\u_uart_core.u_rxfifo.mem[4][7] ),
-    .A2(_02943_),
-    .B1(_02923_),
-    .B2(_02945_),
+ sky130_fd_sc_hd__a22o_1 _12782_ (.A1(\u_uart_core.u_rxfifo.mem[12][7] ),
+    .A2(_07885_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07887_),
     .X(_00865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13809_ (.A1(\u_uart_core.u_rxfifo.mem[4][6] ),
-    .A2(_02943_),
-    .B1(_02926_),
-    .B2(_02945_),
+ sky130_fd_sc_hd__a22o_1 _12783_ (.A1(\u_uart_core.u_rxfifo.mem[12][6] ),
+    .A2(_07885_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07887_),
     .X(_00864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13810_ (.A1(\u_uart_core.u_rxfifo.mem[4][5] ),
-    .A2(_02943_),
-    .B1(_02927_),
-    .B2(_02945_),
+ sky130_fd_sc_hd__a22o_1 _12784_ (.A1(\u_uart_core.u_rxfifo.mem[12][5] ),
+    .A2(_07885_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07887_),
     .X(_00863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13811_ (.A1(\u_uart_core.u_rxfifo.mem[4][4] ),
-    .A2(_02943_),
-    .B1(_02928_),
-    .B2(_02945_),
+ sky130_fd_sc_hd__a22o_1 _12785_ (.A1(\u_uart_core.u_rxfifo.mem[12][4] ),
+    .A2(_07885_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07887_),
     .X(_00862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13812_ (.A(_02942_),
-    .X(_02946_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12786_ (.A(_07884_),
+    .X(_07888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13813_ (.A(_02944_),
-    .X(_02947_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12787_ (.A(_07886_),
+    .X(_07889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13814_ (.A1(\u_uart_core.u_rxfifo.mem[4][3] ),
-    .A2(_02946_),
-    .B1(_02930_),
-    .B2(_02947_),
+ sky130_fd_sc_hd__a22o_1 _12788_ (.A1(\u_uart_core.u_rxfifo.mem[12][3] ),
+    .A2(_07888_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07889_),
     .X(_00861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13815_ (.A1(\u_uart_core.u_rxfifo.mem[4][2] ),
-    .A2(_02946_),
-    .B1(_02932_),
-    .B2(_02947_),
+ sky130_fd_sc_hd__a22o_1 _12789_ (.A1(\u_uart_core.u_rxfifo.mem[12][2] ),
+    .A2(_07888_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07889_),
     .X(_00860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13816_ (.A1(\u_uart_core.u_rxfifo.mem[4][1] ),
-    .A2(_02946_),
-    .B1(_02933_),
-    .B2(_02947_),
+ sky130_fd_sc_hd__a22o_1 _12790_ (.A1(\u_uart_core.u_rxfifo.mem[12][1] ),
+    .A2(_07888_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07889_),
     .X(_00859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13817_ (.A1(\u_uart_core.u_rxfifo.mem[4][0] ),
-    .A2(_02946_),
-    .B1(_02934_),
-    .B2(_02947_),
+ sky130_fd_sc_hd__a22o_1 _12791_ (.A1(\u_uart_core.u_rxfifo.mem[12][0] ),
+    .A2(_07888_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07889_),
     .X(_00858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13818_ (.A(_02911_),
-    .B(_02935_),
-    .C(_06940_),
-    .X(_02948_),
+ sky130_fd_sc_hd__or2_1 _12792_ (.A(_06584_),
+    .B(_06815_),
+    .X(_07890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13819_ (.A(_02948_),
-    .X(_02949_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12793_ (.A(_07890_),
+    .X(_07891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13820_ (.A(_02948_),
-    .Y(_02950_),
+ sky130_fd_sc_hd__inv_2 _12794_ (.A(_07890_),
+    .Y(_07892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13821_ (.A(_02950_),
-    .X(_02951_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12795_ (.A(_07892_),
+    .X(_07893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13822_ (.A1(\u_uart_core.u_rxfifo.mem[12][7] ),
-    .A2(_02949_),
-    .B1(_02923_),
-    .B2(_02951_),
+ sky130_fd_sc_hd__a22o_1 _12796_ (.A1(\u_uart_core.u_rxfifo.mem[11][7] ),
+    .A2(_07891_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07893_),
     .X(_00857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13823_ (.A1(\u_uart_core.u_rxfifo.mem[12][6] ),
-    .A2(_02949_),
-    .B1(_02926_),
-    .B2(_02951_),
+ sky130_fd_sc_hd__a22o_1 _12797_ (.A1(\u_uart_core.u_rxfifo.mem[11][6] ),
+    .A2(_07891_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07893_),
     .X(_00856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13824_ (.A1(\u_uart_core.u_rxfifo.mem[12][5] ),
-    .A2(_02949_),
-    .B1(_02927_),
-    .B2(_02951_),
+ sky130_fd_sc_hd__a22o_1 _12798_ (.A1(\u_uart_core.u_rxfifo.mem[11][5] ),
+    .A2(_07891_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07893_),
     .X(_00855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13825_ (.A1(\u_uart_core.u_rxfifo.mem[12][4] ),
-    .A2(_02949_),
-    .B1(_02928_),
-    .B2(_02951_),
+ sky130_fd_sc_hd__a22o_1 _12799_ (.A1(\u_uart_core.u_rxfifo.mem[11][4] ),
+    .A2(_07891_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07893_),
     .X(_00854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13826_ (.A(_02948_),
-    .X(_02952_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12800_ (.A(_07890_),
+    .X(_07894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13827_ (.A(_02950_),
-    .X(_02953_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12801_ (.A(_07892_),
+    .X(_07895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13828_ (.A1(\u_uart_core.u_rxfifo.mem[12][3] ),
-    .A2(_02952_),
-    .B1(_02930_),
-    .B2(_02953_),
+ sky130_fd_sc_hd__a22o_1 _12802_ (.A1(\u_uart_core.u_rxfifo.mem[11][3] ),
+    .A2(_07894_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07895_),
     .X(_00853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13829_ (.A1(\u_uart_core.u_rxfifo.mem[12][2] ),
-    .A2(_02952_),
-    .B1(_02932_),
-    .B2(_02953_),
+ sky130_fd_sc_hd__a22o_1 _12803_ (.A1(\u_uart_core.u_rxfifo.mem[11][2] ),
+    .A2(_07894_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07895_),
     .X(_00852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13830_ (.A1(\u_uart_core.u_rxfifo.mem[12][1] ),
-    .A2(_02952_),
-    .B1(_02933_),
-    .B2(_02953_),
+ sky130_fd_sc_hd__a22o_1 _12804_ (.A1(\u_uart_core.u_rxfifo.mem[11][1] ),
+    .A2(_07894_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07895_),
     .X(_00851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13831_ (.A1(\u_uart_core.u_rxfifo.mem[12][0] ),
-    .A2(_02952_),
-    .B1(_02934_),
-    .B2(_02953_),
+ sky130_fd_sc_hd__a22o_1 _12805_ (.A1(\u_uart_core.u_rxfifo.mem[11][0] ),
+    .A2(_07894_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07895_),
     .X(_00850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13832_ (.A(_06958_),
-    .B(_07223_),
-    .X(_02954_),
+ sky130_fd_sc_hd__or3_1 _12806_ (.A(_06583_),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .C(_06815_),
+    .X(_07896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13833_ (.A(_02954_),
-    .X(_02955_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12807_ (.A(_07896_),
+    .X(_07897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13834_ (.A(\u_uart_core.rx_fifo_wr_data[7] ),
-    .X(_02956_),
+ sky130_fd_sc_hd__inv_2 _12808_ (.A(_07896_),
+    .Y(_07898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13835_ (.A(_02954_),
-    .Y(_02957_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12809_ (.A(_07898_),
+    .X(_07899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13836_ (.A(_02957_),
-    .X(_02958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13837_ (.A1(\u_uart_core.u_rxfifo.mem[11][7] ),
-    .A2(_02955_),
-    .B1(_02956_),
-    .B2(_02958_),
+ sky130_fd_sc_hd__a22o_1 _12810_ (.A1(\u_uart_core.u_rxfifo.mem[10][7] ),
+    .A2(_07897_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07899_),
     .X(_00849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13838_ (.A(\u_uart_core.rx_fifo_wr_data[6] ),
-    .X(_02959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13839_ (.A1(\u_uart_core.u_rxfifo.mem[11][6] ),
-    .A2(_02955_),
-    .B1(_02959_),
-    .B2(_02958_),
+ sky130_fd_sc_hd__a22o_1 _12811_ (.A1(\u_uart_core.u_rxfifo.mem[10][6] ),
+    .A2(_07897_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07899_),
     .X(_00848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13840_ (.A(\u_uart_core.rx_fifo_wr_data[5] ),
-    .X(_02960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13841_ (.A1(\u_uart_core.u_rxfifo.mem[11][5] ),
-    .A2(_02955_),
-    .B1(_02960_),
-    .B2(_02958_),
+ sky130_fd_sc_hd__a22o_1 _12812_ (.A1(\u_uart_core.u_rxfifo.mem[10][5] ),
+    .A2(_07897_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07899_),
     .X(_00847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13842_ (.A(\u_uart_core.rx_fifo_wr_data[4] ),
-    .X(_02961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13843_ (.A1(\u_uart_core.u_rxfifo.mem[11][4] ),
-    .A2(_02955_),
-    .B1(_02961_),
-    .B2(_02958_),
+ sky130_fd_sc_hd__a22o_1 _12813_ (.A1(\u_uart_core.u_rxfifo.mem[10][4] ),
+    .A2(_07897_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07899_),
     .X(_00846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13844_ (.A(_02954_),
-    .X(_02962_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12814_ (.A(_07896_),
+    .X(_07900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13845_ (.A(\u_uart_core.rx_fifo_wr_data[3] ),
-    .X(_02963_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12815_ (.A(_07898_),
+    .X(_07901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13846_ (.A(_02957_),
-    .X(_02964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13847_ (.A1(\u_uart_core.u_rxfifo.mem[11][3] ),
-    .A2(_02962_),
-    .B1(_02963_),
-    .B2(_02964_),
+ sky130_fd_sc_hd__a22o_1 _12816_ (.A1(\u_uart_core.u_rxfifo.mem[10][3] ),
+    .A2(_07900_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07901_),
     .X(_00845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13848_ (.A(\u_uart_core.rx_fifo_wr_data[2] ),
-    .X(_02965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13849_ (.A1(\u_uart_core.u_rxfifo.mem[11][2] ),
-    .A2(_02962_),
-    .B1(_02965_),
-    .B2(_02964_),
+ sky130_fd_sc_hd__a22o_1 _12817_ (.A1(\u_uart_core.u_rxfifo.mem[10][2] ),
+    .A2(_07900_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07901_),
     .X(_00844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13850_ (.A(\u_uart_core.rx_fifo_wr_data[1] ),
-    .X(_02966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13851_ (.A1(\u_uart_core.u_rxfifo.mem[11][1] ),
-    .A2(_02962_),
-    .B1(_02966_),
-    .B2(_02964_),
+ sky130_fd_sc_hd__a22o_1 _12818_ (.A1(\u_uart_core.u_rxfifo.mem[10][1] ),
+    .A2(_07900_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07901_),
     .X(_00843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13852_ (.A(\u_uart_core.rx_fifo_wr_data[0] ),
-    .X(_02967_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13853_ (.A1(\u_uart_core.u_rxfifo.mem[11][0] ),
-    .A2(_02962_),
-    .B1(_02967_),
-    .B2(_02964_),
+ sky130_fd_sc_hd__a22o_1 _12819_ (.A1(\u_uart_core.u_rxfifo.mem[10][0] ),
+    .A2(_07900_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07901_),
     .X(_00842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13854_ (.A(_06957_),
-    .B(_02935_),
-    .C(_07223_),
-    .X(_02968_),
+ sky130_fd_sc_hd__or3_1 _12820_ (.A(_06582_),
+    .B(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .C(_06566_),
+    .X(_07902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13855_ (.A(_02968_),
-    .X(_02969_),
+ sky130_fd_sc_hd__clkbuf_2 _12821_ (.A(_07902_),
+    .X(_07903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13856_ (.A(_02968_),
-    .Y(_02970_),
+ sky130_fd_sc_hd__inv_2 _12822_ (.A(_07902_),
+    .Y(_07904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13857_ (.A(_02970_),
-    .X(_02971_),
+ sky130_fd_sc_hd__clkbuf_2 _12823_ (.A(_07904_),
+    .X(_07905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13858_ (.A1(\u_uart_core.u_rxfifo.mem[10][7] ),
-    .A2(_02969_),
-    .B1(_02956_),
-    .B2(_02971_),
+ sky130_fd_sc_hd__a22o_1 _12824_ (.A1(\u_uart_core.u_rxfifo.mem[14][7] ),
+    .A2(_07903_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07905_),
     .X(_00841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13859_ (.A1(\u_uart_core.u_rxfifo.mem[10][6] ),
-    .A2(_02969_),
-    .B1(_02959_),
-    .B2(_02971_),
+ sky130_fd_sc_hd__a22o_1 _12825_ (.A1(\u_uart_core.u_rxfifo.mem[14][6] ),
+    .A2(_07903_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07905_),
     .X(_00840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13860_ (.A1(\u_uart_core.u_rxfifo.mem[10][5] ),
-    .A2(_02969_),
-    .B1(_02960_),
-    .B2(_02971_),
+ sky130_fd_sc_hd__a22o_1 _12826_ (.A1(\u_uart_core.u_rxfifo.mem[14][5] ),
+    .A2(_07903_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07905_),
     .X(_00839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13861_ (.A1(\u_uart_core.u_rxfifo.mem[10][4] ),
-    .A2(_02969_),
-    .B1(_02961_),
-    .B2(_02971_),
+ sky130_fd_sc_hd__a22o_1 _12827_ (.A1(\u_uart_core.u_rxfifo.mem[14][4] ),
+    .A2(_07903_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07905_),
     .X(_00838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13862_ (.A(_02968_),
-    .X(_02972_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12828_ (.A(_07902_),
+    .X(_07906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13863_ (.A(_02970_),
-    .X(_02973_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12829_ (.A(_07904_),
+    .X(_07907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13864_ (.A1(\u_uart_core.u_rxfifo.mem[10][3] ),
-    .A2(_02972_),
-    .B1(_02963_),
-    .B2(_02973_),
+ sky130_fd_sc_hd__a22o_1 _12830_ (.A1(\u_uart_core.u_rxfifo.mem[14][3] ),
+    .A2(_07906_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07907_),
     .X(_00837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13865_ (.A1(\u_uart_core.u_rxfifo.mem[10][2] ),
-    .A2(_02972_),
-    .B1(_02965_),
-    .B2(_02973_),
+ sky130_fd_sc_hd__a22o_1 _12831_ (.A1(\u_uart_core.u_rxfifo.mem[14][2] ),
+    .A2(_07906_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07907_),
     .X(_00836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13866_ (.A1(\u_uart_core.u_rxfifo.mem[10][1] ),
-    .A2(_02972_),
-    .B1(_02966_),
-    .B2(_02973_),
+ sky130_fd_sc_hd__a22o_1 _12832_ (.A1(\u_uart_core.u_rxfifo.mem[14][1] ),
+    .A2(_07906_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07907_),
     .X(_00835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13867_ (.A1(\u_uart_core.u_rxfifo.mem[10][0] ),
-    .A2(_02972_),
-    .B1(_02967_),
-    .B2(_02973_),
+ sky130_fd_sc_hd__a22o_1 _12833_ (.A1(\u_uart_core.u_rxfifo.mem[14][0] ),
+    .A2(_07906_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07907_),
     .X(_00834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13868_ (.A(_06956_),
-    .B(_02935_),
-    .C(_06940_),
-    .X(_02974_),
+ sky130_fd_sc_hd__or3_1 _12834_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .B(_06591_),
+    .C(_06566_),
+    .X(_07908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13869_ (.A(_02974_),
-    .X(_02975_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12835_ (.A(_07908_),
+    .X(_07909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13870_ (.A(_02974_),
-    .Y(_02976_),
+ sky130_fd_sc_hd__inv_2 _12836_ (.A(_07908_),
+    .Y(_07910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13871_ (.A(_02976_),
-    .X(_02977_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12837_ (.A(_07910_),
+    .X(_07911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13872_ (.A1(\u_uart_core.u_rxfifo.mem[14][7] ),
-    .A2(_02975_),
-    .B1(_02956_),
-    .B2(_02977_),
+ sky130_fd_sc_hd__a22o_1 _12838_ (.A1(\u_uart_core.u_rxfifo.mem[13][7] ),
+    .A2(_07909_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07911_),
     .X(_00833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13873_ (.A1(\u_uart_core.u_rxfifo.mem[14][6] ),
-    .A2(_02975_),
-    .B1(_02959_),
-    .B2(_02977_),
+ sky130_fd_sc_hd__a22o_1 _12839_ (.A1(\u_uart_core.u_rxfifo.mem[13][6] ),
+    .A2(_07909_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07911_),
     .X(_00832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13874_ (.A1(\u_uart_core.u_rxfifo.mem[14][5] ),
-    .A2(_02975_),
-    .B1(_02960_),
-    .B2(_02977_),
+ sky130_fd_sc_hd__a22o_1 _12840_ (.A1(\u_uart_core.u_rxfifo.mem[13][5] ),
+    .A2(_07909_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07911_),
     .X(_00831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13875_ (.A1(\u_uart_core.u_rxfifo.mem[14][4] ),
-    .A2(_02975_),
-    .B1(_02961_),
-    .B2(_02977_),
+ sky130_fd_sc_hd__a22o_1 _12841_ (.A1(\u_uart_core.u_rxfifo.mem[13][4] ),
+    .A2(_07909_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07911_),
     .X(_00830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13876_ (.A(_02974_),
-    .X(_02978_),
+ sky130_fd_sc_hd__clkbuf_2 _12842_ (.A(_07908_),
+    .X(_07912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13877_ (.A(_02976_),
-    .X(_02979_),
+ sky130_fd_sc_hd__clkbuf_2 _12843_ (.A(_07910_),
+    .X(_07913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13878_ (.A1(\u_uart_core.u_rxfifo.mem[14][3] ),
-    .A2(_02978_),
-    .B1(_02963_),
-    .B2(_02979_),
+ sky130_fd_sc_hd__a22o_1 _12844_ (.A1(\u_uart_core.u_rxfifo.mem[13][3] ),
+    .A2(_07912_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07913_),
     .X(_00829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13879_ (.A1(\u_uart_core.u_rxfifo.mem[14][2] ),
-    .A2(_02978_),
-    .B1(_02965_),
-    .B2(_02979_),
+ sky130_fd_sc_hd__a22o_1 _12845_ (.A1(\u_uart_core.u_rxfifo.mem[13][2] ),
+    .A2(_07912_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07913_),
     .X(_00828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13880_ (.A1(\u_uart_core.u_rxfifo.mem[14][1] ),
-    .A2(_02978_),
-    .B1(_02966_),
-    .B2(_02979_),
+ sky130_fd_sc_hd__a22o_1 _12846_ (.A1(\u_uart_core.u_rxfifo.mem[13][1] ),
+    .A2(_07912_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07913_),
     .X(_00827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13881_ (.A1(\u_uart_core.u_rxfifo.mem[14][0] ),
-    .A2(_02978_),
-    .B1(_02967_),
-    .B2(_02979_),
+ sky130_fd_sc_hd__a22o_1 _12847_ (.A1(\u_uart_core.u_rxfifo.mem[13][0] ),
+    .A2(_07912_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07913_),
     .X(_00826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13882_ (.A(_07170_),
-    .B(_06967_),
-    .C(_06940_),
-    .X(_02980_),
+ sky130_fd_sc_hd__or4_2 _12848_ (.A(_06565_),
+    .B(_06777_),
+    .C(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .D(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .X(_07914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13883_ (.A(_02980_),
-    .X(_02981_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12849_ (.A(_07914_),
+    .X(_07915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13884_ (.A(_02980_),
-    .Y(_02982_),
+ sky130_fd_sc_hd__inv_2 _12850_ (.A(_07914_),
+    .Y(_07916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13885_ (.A(_02982_),
-    .X(_02983_),
+ sky130_fd_sc_hd__clkbuf_2 _12851_ (.A(_07916_),
+    .X(_07917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13886_ (.A1(\u_uart_core.u_rxfifo.mem[13][7] ),
-    .A2(_02981_),
-    .B1(_02956_),
-    .B2(_02983_),
+ sky130_fd_sc_hd__a22o_1 _12852_ (.A1(\u_uart_core.u_rxfifo.mem[4][7] ),
+    .A2(_07915_),
+    .B1(\u_uart_core.rx_fifo_wr_data[7] ),
+    .B2(_07917_),
     .X(_00825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13887_ (.A1(\u_uart_core.u_rxfifo.mem[13][6] ),
-    .A2(_02981_),
-    .B1(_02959_),
-    .B2(_02983_),
+ sky130_fd_sc_hd__a22o_1 _12853_ (.A1(\u_uart_core.u_rxfifo.mem[4][6] ),
+    .A2(_07915_),
+    .B1(\u_uart_core.rx_fifo_wr_data[6] ),
+    .B2(_07917_),
     .X(_00824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13888_ (.A1(\u_uart_core.u_rxfifo.mem[13][5] ),
-    .A2(_02981_),
-    .B1(_02960_),
-    .B2(_02983_),
+ sky130_fd_sc_hd__a22o_1 _12854_ (.A1(\u_uart_core.u_rxfifo.mem[4][5] ),
+    .A2(_07915_),
+    .B1(\u_uart_core.rx_fifo_wr_data[5] ),
+    .B2(_07917_),
     .X(_00823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13889_ (.A1(\u_uart_core.u_rxfifo.mem[13][4] ),
-    .A2(_02981_),
-    .B1(_02961_),
-    .B2(_02983_),
+ sky130_fd_sc_hd__a22o_1 _12855_ (.A1(\u_uart_core.u_rxfifo.mem[4][4] ),
+    .A2(_07915_),
+    .B1(\u_uart_core.rx_fifo_wr_data[4] ),
+    .B2(_07917_),
     .X(_00822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13890_ (.A(_02980_),
-    .X(_02984_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12856_ (.A(_07914_),
+    .X(_07918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13891_ (.A(_02982_),
-    .X(_02985_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12857_ (.A(_07916_),
+    .X(_07919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13892_ (.A1(\u_uart_core.u_rxfifo.mem[13][3] ),
-    .A2(_02984_),
-    .B1(_02963_),
-    .B2(_02985_),
+ sky130_fd_sc_hd__a22o_1 _12858_ (.A1(\u_uart_core.u_rxfifo.mem[4][3] ),
+    .A2(_07918_),
+    .B1(\u_uart_core.rx_fifo_wr_data[3] ),
+    .B2(_07919_),
     .X(_00821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13893_ (.A1(\u_uart_core.u_rxfifo.mem[13][2] ),
-    .A2(_02984_),
-    .B1(_02965_),
-    .B2(_02985_),
+ sky130_fd_sc_hd__a22o_1 _12859_ (.A1(\u_uart_core.u_rxfifo.mem[4][2] ),
+    .A2(_07918_),
+    .B1(\u_uart_core.rx_fifo_wr_data[2] ),
+    .B2(_07919_),
     .X(_00820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13894_ (.A1(\u_uart_core.u_rxfifo.mem[13][1] ),
-    .A2(_02984_),
-    .B1(_02966_),
-    .B2(_02985_),
+ sky130_fd_sc_hd__a22o_1 _12860_ (.A1(\u_uart_core.u_rxfifo.mem[4][1] ),
+    .A2(_07918_),
+    .B1(\u_uart_core.rx_fifo_wr_data[1] ),
+    .B2(_07919_),
     .X(_00819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13895_ (.A1(\u_uart_core.u_rxfifo.mem[13][0] ),
-    .A2(_02984_),
-    .B1(_02967_),
-    .B2(_02985_),
+ sky130_fd_sc_hd__a22o_1 _12861_ (.A1(\u_uart_core.u_rxfifo.mem[4][0] ),
+    .A2(_07918_),
+    .B1(\u_uart_core.rx_fifo_wr_data[0] ),
+    .B2(_07919_),
     .X(_00818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13896_ (.A(_06307_),
+ sky130_fd_sc_hd__clkbuf_2 _12862_ (.A(_05993_),
     .X(_00214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _13897_ (.A(_06327_),
-    .X(_02986_),
+ sky130_fd_sc_hd__clkbuf_2 _12863_ (.A(_06012_),
+    .X(_07920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13898_ (.A1(\u_usb_host.u_phy.state_q[3] ),
-    .A2(_02986_),
+ sky130_fd_sc_hd__a22o_1 _12864_ (.A1(\u_usb_host.u_phy.state_q[3] ),
+    .A2(_07920_),
     .B1(\u_usb_host.u_phy.state_q[12] ),
     .B2(_00214_),
     .X(_00817_),
@@ -224013,8 +219808,8 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _13899_ (.A1(\u_usb_host.u_phy.state_q[12] ),
-    .A2(_02986_),
+ sky130_fd_sc_hd__a22o_1 _12865_ (.A1(\u_usb_host.u_phy.state_q[12] ),
+    .A2(_07920_),
     .B1(\u_usb_host.u_phy.state_q[5] ),
     .B2(_00214_),
     .X(_00816_),
@@ -224022,901 +219817,825 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2b_1 _13900_ (.A_N(\u_usb_host.u_core.u_sie.send_sof_q ),
-    .B(\u_usb_host.u_core.u_sie.in_transfer_q ),
-    .Y(_00208_),
+ sky130_fd_sc_hd__or3b_1 _12866_ (.A(_06179_),
+    .B(_06177_),
+    .C_N(\u_usb_host.u_core.u_sie.send_ack_q ),
+    .X(_07921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13901_ (.A(_00208_),
-    .Y(_00201_),
+ sky130_fd_sc_hd__clkbuf_1 _12867_ (.A(_07921_),
+    .X(_00207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13902_ (.A(_07060_),
-    .Y(_00177_),
+ sky130_fd_sc_hd__or2_2 _12868_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
+    .B(_06743_),
+    .X(_07922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _13903_ (.A(_00205_),
-    .B(_06486_),
-    .C(_06454_),
-    .D(_06478_),
-    .X(_02987_),
+ sky130_fd_sc_hd__inv_2 _12869_ (.A(_07922_),
+    .Y(_00192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13904_ (.A(_02987_),
-    .Y(_00178_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12870_ (.A(_05657_),
+    .X(_07923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13905_ (.A(_07063_),
-    .Y(_00175_),
+ sky130_fd_sc_hd__or3_2 _12871_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B(_06672_),
+    .C(_07923_),
+    .X(_07924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13906_ (.A(_06518_),
-    .X(_02988_),
+ sky130_fd_sc_hd__inv_2 _12872_ (.A(_07924_),
+    .Y(_00185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13907_ (.A(_07111_),
-    .B(_06442_),
-    .X(_02989_),
+ sky130_fd_sc_hd__inv_2 _12873_ (.A(_06669_),
+    .Y(_00188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13908_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
-    .B(_07129_),
-    .X(_02990_),
+ sky130_fd_sc_hd__or4_4 _12874_ (.A(_06163_),
+    .B(_06098_),
+    .C(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .D(_06672_),
+    .X(_07925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13909_ (.A(_02990_),
-    .Y(_00183_),
+ sky130_fd_sc_hd__clkinv_4 _12875_ (.A(_07925_),
+    .Y(_00189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13910_ (.A(_06478_),
-    .X(_00199_),
+ sky130_fd_sc_hd__clkinv_4 _12876_ (.A(_06673_),
+    .Y(_00186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _13911_ (.A(_00180_),
-    .B(_00199_),
-    .C(_05955_),
-    .X(_02991_),
+ sky130_fd_sc_hd__inv_2 _12877_ (.A(_06154_),
+    .Y(_07926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13912_ (.A(_00183_),
-    .B(_02991_),
-    .X(_02992_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12878_ (.A(_06672_),
+    .X(_00191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13913_ (.A(_00205_),
-    .B(_06488_),
-    .X(_00204_),
+ sky130_fd_sc_hd__or4_1 _12879_ (.A(_00206_),
+    .B(_00191_),
+    .C(_07923_),
+    .D(_00192_),
+    .X(_07927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13914_ (.A(_06455_),
-    .B(_00199_),
-    .C(_05955_),
-    .X(_02993_),
+ sky130_fd_sc_hd__or2_1 _12880_ (.A(_00209_),
+    .B(_06160_),
+    .X(_07928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _13915_ (.A(_00200_),
-    .B(_00176_),
-    .Y(_02994_),
+ sky130_fd_sc_hd__o221a_1 _12881_ (.A1(_00208_),
+    .A2(_06162_),
+    .B1(_06722_),
+    .B2(_07925_),
+    .C1(_07928_),
+    .X(_07929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o311a_1 _13916_ (.A1(_06455_),
-    .A2(_00199_),
-    .A3(_00204_),
-    .B1(_02993_),
-    .C1(_02994_),
-    .X(_02995_),
+ sky130_fd_sc_hd__o211a_1 _12882_ (.A1(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .A2(_06661_),
+    .B1(_06667_),
+    .C1(_07929_),
+    .X(_07930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2111a_1 _13917_ (.A1(_00202_),
-    .A2(_07060_),
-    .B1(_02995_),
-    .C1(_05958_),
-    .D1(_07063_),
-    .X(_02996_),
+ sky130_fd_sc_hd__o311a_1 _12883_ (.A1(\u_usb_host.u_core.u_sie.data_ready_w ),
+    .A2(_06139_),
+    .A3(_07926_),
+    .B1(_07927_),
+    .C1(_07930_),
+    .X(_07931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211ai_1 _13918_ (.A1(_02988_),
-    .A2(_02989_),
-    .B1(_02992_),
-    .C1(_02996_),
-    .Y(_00203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13919_ (.A(_02993_),
-    .Y(_00174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13920_ (.A(_06420_),
-    .X(_02997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _13921_ (.A(_02997_),
-    .X(_02998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _13922_ (.A(\u_usb_host.u_core.u_sie.data_ready_w ),
-    .B(_06471_),
-    .Y(_02999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _13923_ (.A(_06476_),
-    .B(_06564_),
-    .C(_02989_),
-    .X(_03000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13924_ (.A(_03000_),
-    .Y(_03001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _13925_ (.A1(_00206_),
-    .A2(_06479_),
-    .B1(_00207_),
-    .B2(_06520_),
-    .X(_03002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _13926_ (.A1(_06508_),
-    .A2(_06483_),
-    .B1(_07064_),
-    .C1(_03002_),
-    .X(_03003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _13927_ (.A(_06487_),
-    .B(_06491_),
-    .C(_06485_),
-    .D(_00217_),
-    .X(_03004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _13928_ (.A1(_06488_),
-    .A2(_02987_),
-    .B1(_06488_),
-    .B2(_07053_),
-    .X(_03005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _13929_ (.A1(_07111_),
-    .A2(_02993_),
-    .B1(_02990_),
-    .B2(_03004_),
-    .C1(_03005_),
-    .X(_03006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _13930_ (.A1(_00209_),
-    .A2(_07060_),
-    .B1(_03003_),
-    .C1(_03006_),
-    .X(_03007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o211ai_1 _13931_ (.A1(_02998_),
-    .A2(_02999_),
-    .B1(_03001_),
-    .C1(_03007_),
+ sky130_fd_sc_hd__clkinv_2 _12884_ (.A(_07931_),
     .Y(_00210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13932_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
-    .Y(_00811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13933_ (.A(\u_i2cm.cr[5] ),
+ sky130_fd_sc_hd__or2_1 _12885_ (.A(\u_i2cm.cr[5] ),
     .B(\u_i2cm.cr[4] ),
-    .X(_03008_),
+    .X(_07932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13934_ (.A(_03008_),
+ sky130_fd_sc_hd__clkbuf_2 _12886_ (.A(_07932_),
     .X(_00035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13935_ (.A(_05536_),
-    .X(_03009_),
+ sky130_fd_sc_hd__inv_2 _12887_ (.A(\u_i2cm.u_byte_ctrl.c_state[1] ),
+    .Y(_07933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13936_ (.A(_05671_),
-    .X(_03010_),
+ sky130_fd_sc_hd__inv_2 _12888_ (.A(\u_i2cm.cr[6] ),
+    .Y(_07934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13937_ (.A(\u_i2cm.u_byte_ctrl.c_state[1] ),
-    .Y(_03011_),
+ sky130_fd_sc_hd__inv_2 _12889_ (.A(\u_i2cm.u_byte_ctrl.core_ack ),
+    .Y(_07935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13938_ (.A(_07039_),
-    .Y(_03012_),
+ sky130_fd_sc_hd__or2_1 _12890_ (.A(\u_i2cm.i2c_al ),
+    .B(_07935_),
+    .X(_07936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13939_ (.A(\u_i2cm.u_byte_ctrl.core_ack ),
-    .Y(_03013_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12891_ (.A(_07936_),
+    .X(_07937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13940_ (.A(_05533_),
-    .B(_03013_),
-    .X(_03014_),
+ sky130_fd_sc_hd__inv_2 _12892_ (.A(\u_i2cm.u_byte_ctrl.c_state[0] ),
+    .Y(_07938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13941_ (.A(_03014_),
-    .X(_03015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13942_ (.A(\u_i2cm.u_byte_ctrl.c_state[0] ),
-    .Y(_03016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21bai_1 _13943_ (.A1(\u_i2cm.cr[6] ),
+ sky130_fd_sc_hd__o21bai_1 _12893_ (.A1(\u_i2cm.cr[6] ),
     .A2(_00035_),
     .B1_N(\u_i2cm.done ),
-    .Y(_03017_),
+    .Y(_07939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13944_ (.A(_03016_),
-    .B(_03017_),
-    .X(_03018_),
+ sky130_fd_sc_hd__or2_1 _12894_ (.A(_07938_),
+    .B(_07939_),
+    .X(_07940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _13945_ (.A(_05533_),
-    .B(_03018_),
+ sky130_fd_sc_hd__or3_1 _12895_ (.A(\u_i2cm.i2c_al ),
+    .B(_07940_),
     .C(\u_i2cm.cr[7] ),
-    .X(_03019_),
+    .X(_07941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _13946_ (.A1(_03012_),
-    .A2(_05673_),
-    .A3(_03015_),
+ sky130_fd_sc_hd__o32a_1 _12896_ (.A1(_07934_),
+    .A2(_05414_),
+    .A3(_07937_),
     .B1(_00035_),
-    .B2(_03019_),
-    .X(_03020_),
+    .B2(_07941_),
+    .X(_07942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31ai_1 _13947_ (.A1(_03009_),
-    .A2(_03010_),
-    .A3(_03011_),
-    .B1(_03020_),
+ sky130_fd_sc_hd__o31ai_1 _12897_ (.A1(\u_i2cm.i2c_al ),
+    .A2(\u_i2cm.u_byte_ctrl.core_ack ),
+    .A3(_07933_),
+    .B1(_07942_),
     .Y(_00001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13948_ (.A(_00213_),
-    .Y(_03021_),
+ sky130_fd_sc_hd__inv_2 _12898_ (.A(_00213_),
+    .Y(_07943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _13949_ (.A(_06352_),
-    .B(_06353_),
-    .C(_05873_),
-    .X(_03022_),
+ sky130_fd_sc_hd__and3_1 _12899_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .B(_06035_),
+    .C(_05594_),
+    .X(_07944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_4 _13950_ (.A1(_05887_),
-    .A2(_03021_),
-    .B1(_05901_),
-    .B2(_03022_),
-    .C1(_05893_),
-    .Y(_03023_),
+ sky130_fd_sc_hd__o221ai_2 _12900_ (.A1(_05608_),
+    .A2(_07943_),
+    .B1(_05623_),
+    .B2(_07944_),
+    .C1(_05614_),
+    .Y(_07945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _13951_ (.A(_05893_),
-    .B(_05880_),
-    .C(_05838_),
-    .D(_05834_),
-    .X(_03024_),
+ sky130_fd_sc_hd__or4_2 _12901_ (.A(_05614_),
+    .B(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .C(\u_uart_core.si_ss ),
+    .D(_05564_),
+    .X(_07946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _13952_ (.A1(_05875_),
+ sky130_fd_sc_hd__a21oi_1 _12902_ (.A1(_05597_),
     .A2(\u_uart_core.cfg_rx_enable ),
-    .B1(_05838_),
-    .Y(_03025_),
+    .B1(\u_uart_core.si_ss ),
+    .Y(_07947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _13953_ (.A1(\u_uart_core.rx_fifo_full_err ),
-    .A2(_03023_),
-    .A3(_03024_),
-    .B1(_05890_),
-    .B2(_03025_),
+ sky130_fd_sc_hd__a32o_1 _12903_ (.A1(\u_uart_core.rx_fifo_full_err ),
+    .A2(_07945_),
+    .A3(_07946_),
+    .B1(_05611_),
+    .B2(_07947_),
     .X(_00012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13954_ (.A(\u_uart_core.u_txfsm.txstate[4] ),
-    .Y(_03026_),
+ sky130_fd_sc_hd__inv_2 _12904_ (.A(\u_uart_core.u_txfsm.txstate[4] ),
+    .Y(_07948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13955_ (.A(\u_uart_core.u_txfsm.txstate[1] ),
-    .Y(_03027_),
+ sky130_fd_sc_hd__inv_2 _12905_ (.A(\u_uart_core.u_txfsm.txstate[1] ),
+    .Y(_07949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _13956_ (.A1(\u_uart_core.cfg_stop_bit ),
-    .A2(_03026_),
-    .B1(_03027_),
-    .C1(_06346_),
-    .X(_03028_),
+ sky130_fd_sc_hd__o211a_1 _12906_ (.A1(\u_uart_core.cfg_stop_bit ),
+    .A2(_07948_),
+    .B1(_07949_),
+    .C1(_06029_),
+    .X(_07950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _13957_ (.A1(_06340_),
-    .A2(_06374_),
-    .B1(_06377_),
-    .B2(_03028_),
+ sky130_fd_sc_hd__o22ai_1 _12907_ (.A1(_06023_),
+    .A2(_06057_),
+    .B1(_06059_),
+    .B2(_07950_),
     .Y(_00013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _13958_ (.A1(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__a32o_1 _12908_ (.A1(\u_uart_core.cfg_stop_bit ),
     .A2(\u_uart_core.u_txfsm.txstate[4] ),
-    .A3(_06374_),
+    .A3(_06057_),
     .B1(\u_uart_core.u_txfsm.txstate[1] ),
-    .B2(_06377_),
+    .B2(_06059_),
     .X(_00014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _13959_ (.A(\u_uart_core.u_txfsm.cnt[2] ),
+ sky130_fd_sc_hd__and3_1 _12909_ (.A(\u_uart_core.u_txfsm.cnt[2] ),
     .B(\u_uart_core.u_txfsm.cnt[1] ),
     .C(\u_uart_core.u_txfsm.cnt[0] ),
-    .X(_03029_),
+    .X(_07951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _13960_ (.A(_06348_),
-    .B(_03029_),
-    .Y(_03030_),
+ sky130_fd_sc_hd__nand2_1 _12910_ (.A(_06031_),
+    .B(_07951_),
+    .Y(_07952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _13961_ (.A1(_06342_),
-    .A2(_05894_),
-    .A3(_03030_),
-    .B1(_06343_),
-    .B2(_06348_),
-    .X(_03031_),
+ sky130_fd_sc_hd__o32a_1 _12911_ (.A1(_06025_),
+    .A2(_05615_),
+    .A3(_07952_),
+    .B1(_06026_),
+    .B2(_06031_),
+    .X(_07953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13962_ (.A(_03031_),
+ sky130_fd_sc_hd__inv_2 _12912_ (.A(_07953_),
     .Y(_00015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _13963_ (.A1(_06375_),
-    .A2(_03030_),
-    .B1(_06380_),
+ sky130_fd_sc_hd__a21o_1 _12913_ (.A1(\u_uart_core.u_txfsm.txstate[3] ),
+    .A2(_07952_),
+    .B1(_06064_),
     .X(_00016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _13964_ (.A1(\u_uart_core.par_error ),
-    .A2(_03022_),
-    .B1(_05893_),
-    .C1(_03021_),
-    .X(_03032_),
+ sky130_fd_sc_hd__o211a_1 _12914_ (.A1(\u_uart_core.par_error ),
+    .A2(_07944_),
+    .B1(_05614_),
+    .C1(_07943_),
+    .X(_07954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _13965_ (.A1(\u_uart_core.par_error ),
-    .A2(_05874_),
-    .A3(_03024_),
-    .B1(_03032_),
+ sky130_fd_sc_hd__a31o_1 _12915_ (.A1(\u_uart_core.par_error ),
+    .A2(_05596_),
+    .A3(_07946_),
+    .B1(_07954_),
     .X(_00010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _13966_ (.A(_06489_),
+ sky130_fd_sc_hd__or2_1 _12916_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .B(_00217_),
+    .X(_07955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _12917_ (.A(_07955_),
     .X(_00219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _13967_ (.A(_06456_),
-    .B(_06471_),
-    .C(_06411_),
-    .D(_06474_),
-    .X(_03033_),
+ sky130_fd_sc_hd__nor2_1 _12918_ (.A(_05660_),
+    .B(_00186_),
+    .Y(_07956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13968_ (.A(_06520_),
-    .X(_03034_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12919_ (.A(_06188_),
+    .X(_07957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _13969_ (.A1(_00222_),
-    .A2(_02991_),
-    .B1(_00221_),
-    .B2(_03034_),
-    .X(_03035_),
+ sky130_fd_sc_hd__or2_1 _12920_ (.A(_00220_),
+    .B(_06160_),
+    .X(_07958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_1 _13970_ (.A1(_00220_),
-    .A2(_06480_),
-    .B1(_00183_),
-    .B2(_03004_),
-    .C1(_03035_),
-    .Y(_03036_),
+ sky130_fd_sc_hd__o221a_1 _12921_ (.A1(_00221_),
+    .A2(_07957_),
+    .B1(_00223_),
+    .B2(_06668_),
+    .C1(_07958_),
+    .X(_07959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _13971_ (.A1(_06489_),
-    .A2(_02987_),
+ sky130_fd_sc_hd__or4_1 _12922_ (.A(_06164_),
+    .B(_00191_),
+    .C(_07923_),
+    .D(_00222_),
+    .X(_07960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _12923_ (.A1(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .A2(_07925_),
     .B1(_00218_),
-    .B2(_06483_),
-    .X(_03037_),
+    .B2(_06162_),
+    .C1(_07960_),
+    .X(_07961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2111a_1 _13972_ (.A1(_00223_),
-    .A2(_07059_),
-    .B1(_05958_),
-    .C1(_07063_),
-    .D1(_03037_),
-    .X(_03038_),
+ sky130_fd_sc_hd__o31a_1 _12924_ (.A1(_00217_),
+    .A2(_06667_),
+    .A3(_00192_),
+    .B1(_07961_),
+    .X(_07962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4b_1 _13973_ (.A(_03033_),
-    .B(_03036_),
-    .C(_03000_),
-    .D_N(_03038_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12925_ (.A(_06186_),
+    .X(_07963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_1 _12926_ (.A1(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .A2(_00193_),
+    .B1(_07963_),
+    .X(_07964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand4_1 _12927_ (.A(_07956_),
+    .B(_07959_),
+    .C(_07962_),
+    .D(_07964_),
+    .Y(_07965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a31o_1 _12928_ (.A1(_06096_),
+    .A2(_06157_),
+    .A3(_06154_),
+    .B1(_07965_),
     .X(_00224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13974_ (.A(_03024_),
-    .Y(_03039_),
+ sky130_fd_sc_hd__inv_2 _12929_ (.A(_07946_),
+    .Y(_07966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _13975_ (.A1(\u_uart_core.frm_error ),
-    .A2(_03039_),
-    .B1(_03023_),
+ sky130_fd_sc_hd__o21a_1 _12930_ (.A1(\u_uart_core.frm_error ),
+    .A2(_07966_),
+    .B1(_07945_),
     .X(_00011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13976_ (.A(\u_i2cm.u_byte_ctrl.c_state[4] ),
-    .Y(_03040_),
+ sky130_fd_sc_hd__inv_2 _12931_ (.A(\u_i2cm.u_byte_ctrl.c_state[4] ),
+    .Y(_07967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13977_ (.A(_03040_),
-    .X(_03041_),
+ sky130_fd_sc_hd__clkbuf_1 _12932_ (.A(_07967_),
+    .X(_07968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_2 _13978_ (.A(\u_i2cm.u_byte_ctrl.dcnt[1] ),
+ sky130_fd_sc_hd__or3_1 _12933_ (.A(\u_i2cm.u_byte_ctrl.dcnt[1] ),
     .B(\u_i2cm.u_byte_ctrl.dcnt[0] ),
     .C(\u_i2cm.u_byte_ctrl.dcnt[2] ),
-    .X(_03042_),
+    .X(_07969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13979_ (.A(_03042_),
-    .Y(_03043_),
+ sky130_fd_sc_hd__inv_2 _12934_ (.A(_07969_),
+    .Y(_07970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13980_ (.A(_07040_),
-    .Y(_03044_),
+ sky130_fd_sc_hd__inv_2 _12935_ (.A(\u_i2cm.cr[5] ),
+    .Y(_07971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13981_ (.A(_03013_),
-    .X(_03045_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12936_ (.A(_07935_),
+    .X(_07972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13982_ (.A(\u_i2cm.u_byte_ctrl.c_state[5] ),
-    .Y(_03046_),
+ sky130_fd_sc_hd__inv_2 _12937_ (.A(\u_i2cm.u_byte_ctrl.c_state[5] ),
+    .Y(_07973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31a_1 _13983_ (.A1(_03045_),
-    .A2(_03046_),
-    .A3(_05534_),
-    .B1(_03019_),
-    .X(_03047_),
+ sky130_fd_sc_hd__o31a_1 _12938_ (.A1(_07972_),
+    .A2(_07973_),
+    .A3(\u_i2cm.i2c_al ),
+    .B1(_07941_),
+    .X(_07974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _13984_ (.A1(_03041_),
-    .A2(_03014_),
-    .A3(_03043_),
-    .B1(_03044_),
-    .B2(_03047_),
-    .X(_03048_),
+ sky130_fd_sc_hd__o32a_1 _12939_ (.A1(_07968_),
+    .A2(_07936_),
+    .A3(_07970_),
+    .B1(_07971_),
+    .B2(_07974_),
+    .X(_07975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31ai_1 _13985_ (.A1(_03009_),
-    .A2(_03010_),
-    .A3(_03041_),
-    .B1(_03048_),
+ sky130_fd_sc_hd__o31ai_1 _12940_ (.A1(\u_i2cm.i2c_al ),
+    .A2(\u_i2cm.u_byte_ctrl.core_ack ),
+    .A3(_07968_),
+    .B1(_07975_),
     .Y(_00004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31oi_1 _13986_ (.A1(_06375_),
-    .A2(_05894_),
-    .A3(_03029_),
+ sky130_fd_sc_hd__a31oi_1 _12941_ (.A1(\u_uart_core.u_txfsm.txstate[3] ),
+    .A2(_05615_),
+    .A3(_07951_),
     .B1(\u_uart_core.u_txfsm.txstate[2] ),
-    .Y(_03049_),
+    .Y(_07976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _13987_ (.A1(_03026_),
-    .A2(_06374_),
-    .B1(_06377_),
-    .B2(_03049_),
+ sky130_fd_sc_hd__o22ai_1 _12942_ (.A1(_07948_),
+    .A2(_06057_),
+    .B1(_06059_),
+    .B2(_07976_),
     .Y(_00017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _13988_ (.A(_05535_),
+ sky130_fd_sc_hd__or2_1 _12943_ (.A(\u_i2cm.i2c_al ),
     .B(\u_i2cm.done ),
+    .X(_07977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _12944_ (.A(_07977_),
     .X(_00227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13989_ (.A(\u_i2cm.u_byte_ctrl.c_state[3] ),
-    .Y(_03050_),
+ sky130_fd_sc_hd__inv_2 _12945_ (.A(\u_i2cm.u_byte_ctrl.c_state[3] ),
+    .Y(_07978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _13990_ (.A(_03011_),
-    .B(_03046_),
-    .C(_03040_),
-    .D(_03050_),
-    .X(_03051_),
+ sky130_fd_sc_hd__and4_1 _12946_ (.A(_07933_),
+    .B(_07973_),
+    .C(_07967_),
+    .D(_07978_),
+    .X(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _13991_ (.A(\u_i2cm.u_byte_ctrl.c_state[0] ),
-    .B(_03017_),
-    .X(_03052_),
+ sky130_fd_sc_hd__and2_1 _12947_ (.A(\u_i2cm.u_byte_ctrl.c_state[0] ),
+    .B(_07939_),
+    .X(_07980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _13992_ (.A1(_05672_),
-    .A2(_03051_),
-    .B1(_05671_),
-    .Y(_03053_),
+ sky130_fd_sc_hd__a21oi_1 _12948_ (.A1(_05413_),
+    .A2(_07979_),
+    .B1(\u_i2cm.u_byte_ctrl.core_ack ),
+    .Y(_07981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _13993_ (.A1(_03052_),
-    .A2(_03053_),
-    .B1(_05669_),
-    .X(_03054_),
+ sky130_fd_sc_hd__o21a_1 _12949_ (.A1(_07980_),
+    .A2(_07981_),
+    .B1(_05410_),
+    .X(_07982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a41o_1 _13994_ (.A1(_05669_),
-    .A2(_05673_),
-    .A3(_03016_),
-    .A4(_03051_),
-    .B1(_03054_),
-    .X(_03055_),
+ sky130_fd_sc_hd__a41o_1 _12950_ (.A1(_05410_),
+    .A2(_05414_),
+    .A3(_07938_),
+    .A4(_07979_),
+    .B1(_07982_),
+    .X(_07983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _13995_ (.A(_03055_),
-    .Y(_03056_),
+ sky130_fd_sc_hd__inv_2 _12951_ (.A(_07983_),
+    .Y(_07984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _13996_ (.A(_03040_),
-    .B(_03014_),
-    .C(_03042_),
-    .X(_03057_),
+ sky130_fd_sc_hd__or3_1 _12952_ (.A(_07967_),
+    .B(_07936_),
+    .C(_07969_),
+    .X(_07985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _13997_ (.A(_03045_),
-    .B(_03046_),
-    .Y(_03058_),
+ sky130_fd_sc_hd__nor2_1 _12953_ (.A(_07972_),
+    .B(_07973_),
+    .Y(_07986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _13998_ (.A1(_05670_),
-    .A2(_03058_),
+ sky130_fd_sc_hd__a21oi_1 _12954_ (.A1(_05411_),
+    .A2(_07986_),
     .B1(\u_i2cm.cr[4] ),
-    .Y(_03059_),
+    .Y(_07987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _13999_ (.A(_03050_),
-    .X(_03060_),
+ sky130_fd_sc_hd__clkbuf_1 _12955_ (.A(_07978_),
+    .X(_07988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14000_ (.A(_03060_),
-    .B(_03043_),
-    .X(_03061_),
+ sky130_fd_sc_hd__or2_1 _12956_ (.A(_07988_),
+    .B(_07970_),
+    .X(_07989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _14001_ (.A1(_07040_),
-    .A2(_03059_),
-    .A3(_03047_),
-    .B1(_03015_),
-    .B2(_03061_),
-    .X(_03062_),
+ sky130_fd_sc_hd__o32a_1 _12957_ (.A1(\u_i2cm.cr[5] ),
+    .A2(_07987_),
+    .A3(_07974_),
+    .B1(_07937_),
+    .B2(_07989_),
+    .X(_07990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211ai_1 _14002_ (.A1(_05719_),
-    .A2(_03056_),
-    .B1(_03057_),
-    .C1(_03062_),
+ sky130_fd_sc_hd__o211ai_1 _12958_ (.A1(_05454_),
+    .A2(_07984_),
+    .B1(_07985_),
+    .C1(_07990_),
     .Y(_00007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14003_ (.A1(_03041_),
-    .A2(_03060_),
-    .B1(_03015_),
-    .Y(_03063_),
+ sky130_fd_sc_hd__a21oi_1 _12959_ (.A1(_07968_),
+    .A2(_07988_),
+    .B1(_07937_),
+    .Y(_07991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _14004_ (.A1(_05670_),
-    .A2(_03045_),
-    .A3(_05674_),
-    .B1(_03043_),
-    .B2(_03063_),
+ sky130_fd_sc_hd__a32o_1 _12960_ (.A1(_05412_),
+    .A2(_07972_),
+    .A3(\u_i2cm.u_byte_ctrl.c_state[2] ),
+    .B1(_07970_),
+    .B2(_07991_),
     .X(_00002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31ai_1 _14005_ (.A1(_03009_),
-    .A2(_03010_),
-    .A3(_03060_),
-    .B1(_03062_),
+ sky130_fd_sc_hd__o31ai_1 _12961_ (.A1(\u_i2cm.i2c_al ),
+    .A2(\u_i2cm.u_byte_ctrl.core_ack ),
+    .A3(_07988_),
+    .B1(_07990_),
     .Y(_00003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_2 _14006_ (.A(_05535_),
-    .B(_03018_),
-    .C_N(_07037_),
-    .X(_03064_),
+ sky130_fd_sc_hd__or3b_1 _12962_ (.A(\u_i2cm.i2c_al ),
+    .B(_07940_),
+    .C_N(\u_i2cm.cr[7] ),
+    .X(_07992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _14007_ (.A1(_05732_),
-    .A2(_03056_),
-    .B1(_03064_),
+ sky130_fd_sc_hd__o21ai_1 _12963_ (.A1(_05468_),
+    .A2(_07984_),
+    .B1(_07992_),
     .Y(_00009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _14008_ (.A1(_05729_),
-    .A2(_03056_),
-    .B1(_03020_),
+ sky130_fd_sc_hd__o21ai_1 _12964_ (.A1(_05465_),
+    .A2(_07984_),
+    .B1(_07942_),
     .Y(_00008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14009_ (.A1(_07039_),
-    .A2(_05673_),
-    .B1(_03011_),
-    .X(_03065_),
+ sky130_fd_sc_hd__o21a_1 _12965_ (.A1(\u_i2cm.cr[6] ),
+    .A2(_05414_),
+    .B1(_07933_),
+    .X(_07993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14010_ (.A(_03045_),
-    .B(_03065_),
-    .X(_03066_),
+ sky130_fd_sc_hd__or2_1 _12966_ (.A(_07972_),
+    .B(_07993_),
+    .X(_07994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _14011_ (.A(_05536_),
-    .B(_03052_),
-    .C_N(_03066_),
+ sky130_fd_sc_hd__or3b_1 _12967_ (.A(\u_i2cm.i2c_al ),
+    .B(_07980_),
+    .C_N(_07994_),
+    .X(_07995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _12968_ (.A(_07995_),
     .X(_00000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _14012_ (.A(_03060_),
-    .B(_03014_),
-    .C(_03042_),
-    .X(_03067_),
+ sky130_fd_sc_hd__or3_1 _12969_ (.A(_07988_),
+    .B(_07936_),
+    .C(_07969_),
+    .X(_07996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211ai_1 _14013_ (.A1(_05726_),
-    .A2(_03056_),
-    .B1(_03067_),
-    .C1(_03048_),
+ sky130_fd_sc_hd__o211ai_1 _12970_ (.A1(_05462_),
+    .A2(_07984_),
+    .B1(_07996_),
+    .C1(_07975_),
     .Y(_00006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31ai_1 _14014_ (.A1(_05536_),
-    .A2(_03010_),
-    .A3(_03046_),
-    .B1(_03064_),
+ sky130_fd_sc_hd__o31ai_1 _12971_ (.A1(\u_i2cm.i2c_al ),
+    .A2(\u_i2cm.u_byte_ctrl.core_ack ),
+    .A3(_07973_),
+    .B1(_07992_),
     .Y(_00005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14015_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__inv_2 _12972_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
     .Y(_00233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _14016_ (.A1_N(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
+ sky130_fd_sc_hd__o2bb2a_1 _12973_ (.A1_N(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
     .A2_N(_00233_),
     .B1(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
     .B2(_00233_),
@@ -224925,411 +220644,393 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14017_ (.A(_00231_),
+ sky130_fd_sc_hd__clkinv_2 _12974_ (.A(_00231_),
     .Y(_00230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14018_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _12975_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+    .X(_07997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _12976_ (.A(_07997_),
     .X(_00234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _14019_ (.A(_06401_),
-    .B(_06392_),
-    .C(_06393_),
-    .X(_03068_),
+ sky130_fd_sc_hd__or3_1 _12977_ (.A(_06085_),
+    .B(_06076_),
+    .C(_06077_),
+    .X(_07998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _14020_ (.A(_03068_),
+ sky130_fd_sc_hd__clkbuf_4 _12978_ (.A(_07998_),
     .X(_00242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14021_ (.A(_06395_),
-    .X(_03069_),
+ sky130_fd_sc_hd__clkbuf_1 _12979_ (.A(_06079_),
+    .X(_07999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14022_ (.A(_06326_),
+ sky130_fd_sc_hd__or2_1 _12980_ (.A(_05989_),
     .B(_00242_),
-    .Y(_03070_),
+    .X(_08000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _14023_ (.A1(\u_usb_host.u_phy.send_eop_q ),
-    .A2(_06874_),
-    .B1(_03069_),
-    .C1(_03070_),
-    .X(_03071_),
+ sky130_fd_sc_hd__inv_2 _12981_ (.A(_08000_),
+    .Y(_08001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14024_ (.A(_03071_),
-    .Y(_03072_),
+ sky130_fd_sc_hd__o211a_1 _12982_ (.A1(\u_usb_host.u_phy.send_eop_q ),
+    .A2(_06512_),
+    .B1(_07999_),
+    .C1(_08001_),
+    .X(_08002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14025_ (.A(_03070_),
-    .X(_03073_),
+ sky130_fd_sc_hd__inv_2 _12983_ (.A(_08002_),
+    .Y(_08003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _14026_ (.A1(_06873_),
-    .A2(_03072_),
+ sky130_fd_sc_hd__a22o_1 _12984_ (.A1(\u_usb_host.u_phy.state_q[1] ),
+    .A2(_08003_),
     .B1(\u_usb_host.u_phy.state_q[13] ),
-    .B2(_03073_),
+    .B2(_08001_),
     .X(_00022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14027_ (.A(_06316_),
-    .Y(_03074_),
+ sky130_fd_sc_hd__clkbuf_2 _12985_ (.A(_05992_),
+    .X(_08004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14028_ (.A(\u_usb_host.u_phy.rxd_q ),
-    .B(_03074_),
-    .X(_03075_),
+ sky130_fd_sc_hd__inv_2 _12986_ (.A(_06001_),
+    .Y(_08005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14029_ (.A(_06326_),
-    .B(_03075_),
-    .X(_03076_),
+ sky130_fd_sc_hd__or2_1 _12987_ (.A(\u_usb_host.u_phy.rxd_q ),
+    .B(_08005_),
+    .X(_08006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _14030_ (.A(\u_usb_host.u_phy.bit_count_q[2] ),
+ sky130_fd_sc_hd__or2_1 _12988_ (.A(_06011_),
+    .B(_08006_),
+    .X(_08007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_1 _12989_ (.A(\u_usb_host.u_phy.state_q[4] ),
+    .B(_08007_),
+    .Y(_08008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12990_ (.A(_08008_),
+    .Y(_08009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_1 _12991_ (.A(\u_usb_host.u_phy.bit_count_q[2] ),
     .B(\u_usb_host.u_phy.bit_count_q[1] ),
-    .C(_06393_),
-    .D(_06326_),
-    .X(_03077_),
+    .C(_06077_),
+    .D(_06011_),
+    .X(_08010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14031_ (.A(\u_usb_host.u_phy.state_q[11] ),
-    .X(_03078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14032_ (.A(_03078_),
-    .B(_06307_),
-    .C(\u_usb_host.u_phy.in_j_w ),
-    .X(_03079_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _14033_ (.A1(_06311_),
-    .A2(_03076_),
-    .A3(_03077_),
-    .B1(_03079_),
+ sky130_fd_sc_hd__a32o_1 _12992_ (.A1(\u_usb_host.u_phy.state_q[11] ),
+    .A2(_08004_),
+    .A3(\u_usb_host.u_phy.in_j_w ),
+    .B1(_08009_),
+    .B2(_08010_),
     .X(_00024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14034_ (.A(_03076_),
-    .Y(_03080_),
+ sky130_fd_sc_hd__inv_2 _12993_ (.A(_08006_),
+    .Y(_08011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14035_ (.A(_06306_),
-    .X(_03081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14036_ (.A(_03074_),
-    .X(_03082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14037_ (.A(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__nand3_1 _12994_ (.A(\u_usb_host.u_phy.rx_dp_q ),
     .B(\u_usb_host.u_phy.rx_dn_q ),
-    .C(_06306_),
-    .X(_03083_),
+    .C(_05992_),
+    .Y(_08012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211oi_1 _14038_ (.A1(_03081_),
-    .A2(_03082_),
-    .B1(_06482_),
-    .C1(_03083_),
-    .Y(_03084_),
+ sky130_fd_sc_hd__o211a_1 _12995_ (.A1(_06011_),
+    .A2(_06001_),
+    .B1(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+    .C1(_08012_),
+    .X(_08013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _14039_ (.A1(\u_usb_host.u_phy.sync_j_detected_q ),
-    .A2(_03078_),
-    .A3(_03080_),
-    .B1(_03084_),
+ sky130_fd_sc_hd__a41o_1 _12996_ (.A1(_00214_),
+    .A2(_08011_),
+    .A3(\u_usb_host.u_phy.sync_j_detected_q ),
+    .A4(\u_usb_host.u_phy.state_q[11] ),
+    .B1(_08013_),
     .X(_00023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14040_ (.A(\u_usb_host.u_phy.rxd_last_j_q ),
-    .Y(_03085_),
+ sky130_fd_sc_hd__inv_2 _12997_ (.A(\u_usb_host.u_phy.rxd_last_j_q ),
+    .Y(_08014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14041_ (.A1(\u_usb_host.u_phy.rxd_last_j_q ),
+ sky130_fd_sc_hd__o221a_1 _12998_ (.A1(\u_usb_host.u_phy.rxd_last_j_q ),
     .A2(\u_usb_host.u_phy.in_j_w ),
-    .B1(_03085_),
-    .B2(_06317_),
-    .C1(_06304_),
-    .X(_03086_),
+    .B1(_08014_),
+    .B2(_06002_),
+    .C1(_05990_),
+    .X(_08015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14042_ (.A(_03086_),
+ sky130_fd_sc_hd__clkbuf_2 _12999_ (.A(_08015_),
     .X(_00244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14043_ (.A(\u_usb_host.u_phy.ones_count_q[1] ),
-    .X(_03087_),
+ sky130_fd_sc_hd__or4_1 _13000_ (.A(\u_usb_host.u_phy.ones_count_q[1] ),
+    .B(_06055_),
+    .C(_06049_),
+    .D(_08015_),
+    .X(_08016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _14044_ (.A(_03087_),
-    .B(_06372_),
-    .C(_06366_),
-    .D(_03086_),
-    .X(_03088_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _14045_ (.A1(_06873_),
-    .A2(_03071_),
-    .A3(_03088_),
+ sky130_fd_sc_hd__a31o_1 _13001_ (.A1(\u_usb_host.u_phy.state_q[1] ),
+    .A2(_08002_),
+    .A3(_08016_),
     .B1(_00243_),
     .X(_00025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14046_ (.A(_06327_),
-    .X(_03089_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _14047_ (.A1(_03081_),
-    .A2(_03082_),
+ sky130_fd_sc_hd__a32o_1 _13002_ (.A1(_08004_),
+    .A2(_08005_),
     .A3(\u_usb_host.u_phy.state_q[9] ),
     .B1(\u_usb_host.u_phy.state_q[6] ),
-    .B2(_03089_),
+    .B2(_06013_),
     .X(_00026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14048_ (.A(_03075_),
-    .Y(_03090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _14049_ (.A1(_06852_),
-    .A2(_03090_),
+ sky130_fd_sc_hd__a22o_1 _13003_ (.A1(\u_usb_host.u_phy.state_q[0] ),
+    .A2(_08011_),
     .B1(\u_usb_host.u_phy.state_q[7] ),
-    .B2(_02986_),
+    .B2(_07920_),
     .X(_00027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14050_ (.A(_03088_),
-    .Y(_03091_),
+ sky130_fd_sc_hd__inv_2 _13004_ (.A(_08016_),
+    .Y(_08017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _14051_ (.A1(_06873_),
-    .A2(_03071_),
-    .A3(_03091_),
+ sky130_fd_sc_hd__a32o_1 _13005_ (.A1(\u_usb_host.u_phy.state_q[1] ),
+    .A2(_08002_),
+    .A3(_08017_),
     .B1(\u_usb_host.u_phy.state_q[8] ),
-    .B2(_03089_),
+    .B2(_06013_),
     .X(_00028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _14052_ (.A1(_03081_),
-    .A2(_03082_),
-    .A3(_05909_),
+ sky130_fd_sc_hd__a32o_1 _13006_ (.A1(_08004_),
+    .A2(_08005_),
+    .A3(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .B1(\u_usb_host.u_phy.state_q[9] ),
-    .B2(_02986_),
+    .B2(_06013_),
     .X(_00029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14053_ (.A(_06329_),
-    .B(_03075_),
-    .C(_06875_),
-    .X(_03092_),
+ sky130_fd_sc_hd__inv_2 _13007_ (.A(\u_usb_host.u_phy.state_q[10] ),
+    .Y(_08018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ba_1 _14054_ (.A1(\u_usb_host.u_phy.state_q[10] ),
-    .A2(_03092_),
-    .B1_N(_07108_),
-    .X(_00019_),
+ sky130_fd_sc_hd__or3_1 _13008_ (.A(_06008_),
+    .B(_08011_),
+    .C(_06511_),
+    .X(_08019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14055_ (.A(_06327_),
-    .B(_03082_),
-    .X(_03093_),
+ sky130_fd_sc_hd__a21oi_1 _13009_ (.A1(_08018_),
+    .A2(_08019_),
+    .B1(_06717_),
+    .Y(_00019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14056_ (.A1_N(_06312_),
-    .A2_N(_03076_),
-    .B1(_03078_),
-    .B2(_03093_),
-    .X(_00020_),
+ sky130_fd_sc_hd__inv_2 _13010_ (.A(\u_usb_host.u_phy.state_q[11] ),
+    .Y(_08020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _14057_ (.A1(_06323_),
-    .A2(_03090_),
-    .A3(_06875_),
-    .B1(_06841_),
-    .B2(_03073_),
-    .X(_03094_),
+ sky130_fd_sc_hd__nor2_1 _13011_ (.A(_06012_),
+    .B(_08005_),
+    .Y(_08021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14058_ (.A(_03094_),
-    .Y(_00021_),
+ sky130_fd_sc_hd__o22ai_1 _13012_ (.A1(_05997_),
+    .A2(_08007_),
+    .B1(_08020_),
+    .B2(_08021_),
+    .Y(_00020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a311o_1 _14059_ (.A1(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__a32o_1 _13013_ (.A1(\u_usb_host.u_phy.state_q[0] ),
+    .A2(_08006_),
+    .A3(_06511_),
+    .B1(\u_usb_host.u_phy.state_q[13] ),
+    .B2(_08000_),
+    .X(_00021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a311o_1 _13014_ (.A1(\u_usb_host.u_phy.rx_dp_q ),
     .A2(\u_usb_host.u_phy.rx_dn_q ),
-    .A3(_05909_),
+    .A3(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .B1(\u_usb_host.u_phy.state_q[3] ),
     .C1(\u_usb_host.u_phy.state_q[6] ),
-    .X(_03095_),
+    .X(_08022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14060_ (.A1(\u_usb_host.u_phy.state_q[9] ),
-    .A2(_06316_),
-    .B1(_03095_),
-    .Y(_03096_),
+ sky130_fd_sc_hd__a21oi_1 _13015_ (.A1(\u_usb_host.u_phy.state_q[9] ),
+    .A2(_06001_),
+    .B1(_08022_),
+    .Y(_08023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14061_ (.A1(\u_usb_host.u_phy.state_q[7] ),
-    .A2(_03081_),
-    .B1(_07109_),
-    .Y(_03097_),
+ sky130_fd_sc_hd__a21boi_1 _13016_ (.A1(\u_usb_host.u_phy.state_q[7] ),
+    .A2(_05993_),
+    .B1_N(_06719_),
+    .Y(_08024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14062_ (.A(_03077_),
-    .Y(_03098_),
+ sky130_fd_sc_hd__or3_1 _13017_ (.A(\u_usb_host.u_phy.sync_j_detected_q ),
+    .B(_08020_),
+    .C(_08007_),
+    .X(_08025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14063_ (.A(\u_usb_host.u_phy.sync_j_detected_q ),
-    .Y(_03099_),
+ sky130_fd_sc_hd__o221a_1 _13018_ (.A1(_08018_),
+    .A2(_06718_),
+    .B1(_08008_),
+    .B2(_08010_),
+    .C1(_08025_),
+    .X(_08026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _14064_ (.A1(_03099_),
-    .A2(\u_usb_host.u_phy.state_q[11] ),
-    .A3(_03080_),
-    .B1(\u_usb_host.u_phy.state_q[10] ),
-    .B2(_07108_),
-    .X(_03100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31oi_1 _14065_ (.A1(_06311_),
-    .A2(_03076_),
-    .A3(_03098_),
-    .B1(_03100_),
-    .Y(_03101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_1 _14066_ (.A1(_03089_),
-    .A2(_03096_),
-    .B1(_03090_),
-    .B2(_03097_),
-    .C1(_03101_),
+ sky130_fd_sc_hd__o221ai_1 _13019_ (.A1(_06012_),
+    .A2(_08023_),
+    .B1(_08011_),
+    .B2(_08024_),
+    .C1(_08026_),
     .Y(_00018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _14067_ (.A(_06482_),
-    .B(_03089_),
+ sky130_fd_sc_hd__nor2_1 _13020_ (.A(_05631_),
+    .B(_07920_),
     .Y(_00246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _14068_ (.A(_05910_),
-    .B(_00214_),
-    .C(_03069_),
+ sky130_fd_sc_hd__and3_1 _13021_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+    .B(_08004_),
+    .C(_07999_),
+    .X(_08027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_4 _13022_ (.A(_08027_),
     .X(_00247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14069_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__clkinv_4 _13023_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[1] ),
     .Y(_00254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _14070_ (.A1_N(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
+ sky130_fd_sc_hd__o2bb2a_1 _13024_ (.A1_N(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
     .A2_N(_00254_),
     .B1(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
     .B2(_00254_),
@@ -225338,13 +221039,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14071_ (.A(_00252_),
+ sky130_fd_sc_hd__clkinv_2 _13025_ (.A(_00252_),
     .Y(_00248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14072_ (.A1_N(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ),
+ sky130_fd_sc_hd__a2bb2o_1 _13026_ (.A1_N(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ),
     .A2_N(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
     .B1(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ),
     .B2(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
@@ -225353,216 +221054,228 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14073_ (.A(_00249_),
+ sky130_fd_sc_hd__clkinv_2 _13027_ (.A(_00249_),
     .Y(_00250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14074_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _13028_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[1] ),
+    .X(_08028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13029_ (.A(_08028_),
     .X(_00255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14075_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
+ sky130_fd_sc_hd__clkinv_2 _13030_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
     .Y(_00257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14076_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _13031_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
+    .X(_08029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13032_ (.A(_08029_),
     .X(_00258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14077_ (.A(_07022_),
+ sky130_fd_sc_hd__or2_1 _13033_ (.A(_06640_),
     .B(_00253_),
-    .X(_03102_),
+    .X(_08030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14078_ (.A(_03102_),
-    .Y(_03103_),
+ sky130_fd_sc_hd__inv_2 _13034_ (.A(_08030_),
+    .Y(_08031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14079_ (.A1_N(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__a2bb2o_1 _13035_ (.A1_N(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .A2_N(_00256_),
     .B1(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .B2(_00256_),
-    .X(_03104_),
+    .X(_08032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14080_ (.A(_03104_),
-    .Y(_03105_),
+ sky130_fd_sc_hd__inv_2 _13036_ (.A(_08032_),
+    .Y(_08033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14081_ (.A1(_07023_),
+ sky130_fd_sc_hd__a21oi_1 _13037_ (.A1(_06646_),
     .A2(_00253_),
-    .B1(_03104_),
-    .Y(_03106_),
+    .B1(_08032_),
+    .Y(_08034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14082_ (.A(_03106_),
-    .Y(_03107_),
+ sky130_fd_sc_hd__inv_2 _13038_ (.A(_08034_),
+    .Y(_08035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14083_ (.A1(_07020_),
+ sky130_fd_sc_hd__o22a_1 _13039_ (.A1(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .A2(_00256_),
-    .B1(_03103_),
-    .B2(_03104_),
-    .X(_03108_),
+    .B1(_08031_),
+    .B2(_08032_),
+    .X(_08036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14084_ (.A(_00251_),
-    .Y(_03109_),
+ sky130_fd_sc_hd__inv_2 _13040_ (.A(_00251_),
+    .Y(_08037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14085_ (.A(_07018_),
-    .B(_03109_),
-    .Y(_03110_),
+ sky130_fd_sc_hd__nor2_1 _13041_ (.A(_06637_),
+    .B(_08037_),
+    .Y(_08038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14086_ (.A1(_07019_),
-    .A2(_03109_),
-    .B1(_03110_),
-    .Y(_03111_),
+ sky130_fd_sc_hd__a21oi_1 _13042_ (.A1(_06638_),
+    .A2(_08037_),
+    .B1(_08038_),
+    .Y(_08039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14087_ (.A1(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__o22a_1 _13043_ (.A1(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .A2(_00251_),
-    .B1(_03110_),
-    .B2(_03108_),
-    .X(_03112_),
+    .B1(_08038_),
+    .B2(_08036_),
+    .X(_08040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14088_ (.A(_03112_),
-    .Y(_03113_),
+ sky130_fd_sc_hd__inv_2 _13044_ (.A(_08040_),
+    .Y(_08041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14089_ (.A(_00259_),
-    .Y(_03114_),
+ sky130_fd_sc_hd__inv_2 _13045_ (.A(_00259_),
+    .Y(_08042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14090_ (.A(_07030_),
-    .B(_03114_),
-    .Y(_03115_),
+ sky130_fd_sc_hd__nor2_1 _13046_ (.A(_06648_),
+    .B(_08042_),
+    .Y(_08043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _14091_ (.A1(_07031_),
-    .A2(_03114_),
-    .B1(_03115_),
-    .X(_03116_),
+ sky130_fd_sc_hd__a21o_1 _13047_ (.A1(_06649_),
+    .A2(_08042_),
+    .B1(_08043_),
+    .X(_08044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _14092_ (.A1(_07019_),
-    .A2(_03109_),
-    .B1(_03116_),
-    .Y(_03117_),
+ sky130_fd_sc_hd__o21ai_1 _13048_ (.A1(_06638_),
+    .A2(_08037_),
+    .B1(_08044_),
+    .Y(_08045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14093_ (.A1(_03108_),
-    .A2(_03111_),
-    .B1(_03113_),
-    .B2(_03116_),
-    .C1(_03117_),
-    .X(_03118_),
+ sky130_fd_sc_hd__o221a_1 _13049_ (.A1(_08036_),
+    .A2(_08039_),
+    .B1(_08041_),
+    .B2(_08044_),
+    .C1(_08045_),
+    .X(_08046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _14094_ (.A1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__o22ai_1 _13050_ (.A1(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .A2(_00259_),
-    .B1(_03115_),
-    .B2(_03112_),
-    .Y(_03119_),
+    .B1(_08043_),
+    .B2(_08040_),
+    .Y(_08047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14095_ (.A1_N(_07027_),
+ sky130_fd_sc_hd__a2bb2o_1 _13051_ (.A1_N(_06644_),
     .A2_N(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
-    .B1(_07027_),
+    .B1(_06644_),
     .B2(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
-    .X(_03120_),
+    .X(_08048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2oi_1 _14096_ (.A1_N(_03119_),
-    .A2_N(_03120_),
-    .B1(_03119_),
-    .B2(_03120_),
-    .Y(_03121_),
+ sky130_fd_sc_hd__a2bb2oi_1 _13052_ (.A1_N(_08047_),
+    .A2_N(_08048_),
+    .B1(_08047_),
+    .B2(_08048_),
+    .Y(_08049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2111a_1 _14097_ (.A1(_03103_),
-    .A2(_03105_),
-    .B1(_03107_),
-    .C1(_03118_),
-    .D1(_03121_),
+ sky130_fd_sc_hd__o2111a_1 _13053_ (.A1(_08031_),
+    .A2(_08033_),
+    .B1(_08035_),
+    .C1(_08046_),
+    .D1(_08049_),
     .X(_00260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14098_ (.A(\u_uart_core.tx_fifo_rd_empty ),
-    .Y(_03122_),
+ sky130_fd_sc_hd__inv_2 _13054_ (.A(\u_uart_core.tx_fifo_rd_empty ),
+    .Y(_08050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a41o_1 _14099_ (.A1(_03102_),
-    .A2(_03106_),
-    .A3(_03118_),
-    .A4(_03121_),
-    .B1(_03122_),
-    .X(_03123_),
+ sky130_fd_sc_hd__a41o_1 _13055_ (.A1(_08030_),
+    .A2(_08034_),
+    .A3(_08046_),
+    .A4(_08049_),
+    .B1(_08050_),
+    .X(_08051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14100_ (.A(_03123_),
+ sky130_fd_sc_hd__clkinv_2 _13056_ (.A(_08051_),
     .Y(_00261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14101_ (.A1_N(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__a2bb2o_1 _13057_ (.A1_N(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
     .A2_N(\u_uart_core.u_txfifo.sync_rd_ptr_1[0] ),
     .B1(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
     .B2(\u_uart_core.u_txfifo.sync_rd_ptr_1[0] ),
@@ -225571,13 +221284,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14102_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[3] ),
+ sky130_fd_sc_hd__clkinv_4 _13058_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[3] ),
     .Y(_00269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14103_ (.A1_N(_00269_),
+ sky130_fd_sc_hd__a2bb2o_1 _13059_ (.A1_N(_00269_),
     .A2_N(\u_uart_core.u_txfifo.sync_rd_ptr_1[2] ),
     .B1(_00269_),
     .B2(\u_uart_core.u_txfifo.sync_rd_ptr_1[2] ),
@@ -225586,213 +221299,237 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14104_ (.A(_00263_),
+ sky130_fd_sc_hd__clkinv_2 _13060_ (.A(_00263_),
     .Y(_00264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14105_ (.A(_00262_),
+ sky130_fd_sc_hd__clkinv_2 _13061_ (.A(_00262_),
     .Y(_00266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14106_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _13062_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[3] ),
+    .X(_08052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13063_ (.A(_08052_),
     .X(_00268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14107_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _13064_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
+    .X(_08053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13065_ (.A(_08053_),
     .X(_00271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14108_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__inv_2 _13066_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
     .Y(_00272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14109_ (.A1_N(_07134_),
+ sky130_fd_sc_hd__a2bb2o_1 _13067_ (.A1_N(_06755_),
     .A2_N(_00265_),
-    .B1(_07134_),
+    .B1(_06755_),
     .B2(_00265_),
-    .X(_03124_),
+    .X(_08054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14110_ (.A(_00273_),
-    .Y(_03125_),
+ sky130_fd_sc_hd__inv_2 _13068_ (.A(_00273_),
+    .Y(_08055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14111_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
-    .B(_03125_),
-    .Y(_03126_),
+ sky130_fd_sc_hd__nor2_1 _13069_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
+    .B(_08055_),
+    .Y(_08056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14112_ (.A(_07137_),
+ sky130_fd_sc_hd__nor2_1 _13070_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
     .B(_00267_),
-    .Y(_03127_),
+    .Y(_08057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14113_ (.A1(_06991_),
+ sky130_fd_sc_hd__o22a_1 _13071_ (.A1(_06615_),
     .A2(_00273_),
-    .B1(_03126_),
-    .B2(_03127_),
-    .X(_03128_),
+    .B1(_08056_),
+    .B2(_08057_),
+    .X(_08058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14114_ (.A1(_07135_),
+ sky130_fd_sc_hd__o22a_1 _13072_ (.A1(_06756_),
     .A2(_00265_),
-    .B1(_03124_),
-    .B2(_03128_),
-    .X(_03129_),
+    .B1(_08054_),
+    .B2(_08058_),
+    .X(_08059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14115_ (.A(_00270_),
-    .Y(_03130_),
+ sky130_fd_sc_hd__inv_2 _13073_ (.A(_00270_),
+    .Y(_08060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14116_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
-    .B(_03130_),
-    .Y(_03131_),
+ sky130_fd_sc_hd__nor2_1 _13074_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(_08060_),
+    .Y(_08061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _14117_ (.A1(_07002_),
-    .A2(_03130_),
-    .B1(_03131_),
-    .X(_03132_),
+ sky130_fd_sc_hd__a21o_1 _13075_ (.A1(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .A2(_08060_),
+    .B1(_08061_),
+    .X(_08062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2ai_2 _14118_ (.A1_N(_03129_),
-    .A2_N(_03132_),
-    .B1(_03129_),
-    .B2(_03132_),
-    .Y(_03133_),
+ sky130_fd_sc_hd__o2bb2ai_2 _13076_ (.A1_N(_08059_),
+    .A2_N(_08062_),
+    .B1(_08059_),
+    .B2(_08062_),
+    .Y(_08063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14119_ (.A(_07137_),
+ sky130_fd_sc_hd__and2_1 _13077_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
     .B(_00267_),
-    .X(_03134_),
+    .X(_08064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _14120_ (.A1(\u_uart_core.u_txfifo.wr_ptr[1] ),
-    .A2(_03125_),
-    .B1(_03126_),
-    .X(_03135_),
+ sky130_fd_sc_hd__a21o_1 _13078_ (.A1(\u_uart_core.u_txfifo.wr_ptr[1] ),
+    .A2(_08055_),
+    .B1(_08056_),
+    .X(_08065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _14121_ (.A0(_03127_),
-    .A1(_03134_),
-    .S(_03135_),
-    .X(_03136_),
+ sky130_fd_sc_hd__mux2_1 _13079_ (.A0(_08057_),
+    .A1(_08064_),
+    .S(_08065_),
+    .X(_08066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14122_ (.A1_N(_03124_),
-    .A2_N(_03128_),
-    .B1(_03124_),
-    .B2(_03128_),
-    .X(_03137_),
+ sky130_fd_sc_hd__a2bb2o_1 _13080_ (.A1_N(_08054_),
+    .A2_N(_08058_),
+    .B1(_08054_),
+    .B2(_08058_),
+    .X(_08067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14123_ (.A(_03137_),
-    .Y(_03138_),
+ sky130_fd_sc_hd__inv_2 _13081_ (.A(_08067_),
+    .Y(_08068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _14124_ (.A1(_07007_),
+ sky130_fd_sc_hd__o22ai_1 _13082_ (.A1(_06627_),
     .A2(_00270_),
-    .B1(_03129_),
-    .B2(_03131_),
-    .Y(_03139_),
+    .B1(_08059_),
+    .B2(_08061_),
+    .Y(_08069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14125_ (.A1_N(_06998_),
+ sky130_fd_sc_hd__a2bb2o_1 _13083_ (.A1_N(_06622_),
     .A2_N(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
-    .B1(_06998_),
+    .B1(_06622_),
     .B2(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
-    .X(_03140_),
+    .X(_08070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_2 _14126_ (.A1_N(_03139_),
-    .A2_N(_03140_),
-    .B1(_03139_),
-    .B2(_03140_),
-    .X(_03141_),
+ sky130_fd_sc_hd__a2bb2o_2 _13084_ (.A1_N(_08069_),
+    .A2_N(_08070_),
+    .B1(_08069_),
+    .B2(_08070_),
+    .X(_08071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14127_ (.A(_03141_),
-    .Y(_03142_),
+ sky130_fd_sc_hd__inv_2 _13085_ (.A(_08071_),
+    .Y(_08072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4b_1 _14128_ (.A_N(_03133_),
-    .B(_03136_),
-    .C(_03138_),
-    .D(_03142_),
+ sky130_fd_sc_hd__and4b_1 _13086_ (.A_N(_08063_),
+    .B(_08066_),
+    .C(_08068_),
+    .D(_08072_),
+    .X(_08073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13087_ (.A(_08073_),
     .X(_00274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14129_ (.A(\u_uart_core.app_tx_fifo_full ),
-    .Y(_03143_),
+ sky130_fd_sc_hd__inv_2 _13088_ (.A(\u_uart_core.app_tx_fifo_full ),
+    .Y(_08074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14130_ (.A(_03143_),
-    .B(_03141_),
+ sky130_fd_sc_hd__nor2_1 _13089_ (.A(_08074_),
+    .B(_08071_),
     .Y(_00275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14131_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__clkinv_8 _13090_ (.A(_05664_),
+    .Y(_00276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_4 _13091_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
     .Y(_00281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14132_ (.A1_N(_00281_),
+ sky130_fd_sc_hd__a2bb2o_1 _13092_ (.A1_N(_00281_),
     .A2_N(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
     .B1(_00281_),
     .B2(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
@@ -225801,27 +221538,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14133_ (.A(_00277_),
+ sky130_fd_sc_hd__clkinv_2 _13093_ (.A(_00277_),
     .Y(_00278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14134_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _13094_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+    .X(_08075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13095_ (.A(_08075_),
     .X(_00280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14135_ (.A(net120),
-    .B(net115),
-    .C(_05572_),
+ sky130_fd_sc_hd__and3_1 _13096_ (.A(net176),
+    .B(net13),
+    .C(_05338_),
+    .X(_08076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13097_ (.A(_08076_),
     .X(_00283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14136_ (.A1_N(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__a2bb2o_1 _13098_ (.A1_N(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
     .A2_N(\u_uart_core.u_rxfifo.sync_rd_ptr_1[0] ),
     .B1(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
     .B2(\u_uart_core.u_rxfifo.sync_rd_ptr_1[0] ),
@@ -225830,13 +221579,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14137_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[3] ),
+ sky130_fd_sc_hd__inv_2 _13099_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[3] ),
     .Y(_00295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14138_ (.A1_N(_00295_),
+ sky130_fd_sc_hd__a2bb2o_1 _13100_ (.A1_N(_00295_),
     .A2_N(\u_uart_core.u_rxfifo.sync_rd_ptr_1[2] ),
     .B1(_00295_),
     .B2(\u_uart_core.u_rxfifo.sync_rd_ptr_1[2] ),
@@ -225845,189 +221594,201 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14139_ (.A(_00286_),
+ sky130_fd_sc_hd__clkinv_2 _13101_ (.A(_00286_),
     .Y(_00287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14140_ (.A(_00285_),
+ sky130_fd_sc_hd__clkinv_2 _13102_ (.A(_00285_),
     .Y(_00289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14141_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _13103_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
+    .X(_08077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13104_ (.A(_08077_),
     .X(_00291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14142_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__clkinv_2 _13105_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
     .Y(_00292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14143_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _13106_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[3] ),
+    .X(_08078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13107_ (.A(_08078_),
     .X(_00294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14144_ (.A(_00290_),
-    .Y(_03144_),
+ sky130_fd_sc_hd__inv_2 _13108_ (.A(_00290_),
+    .Y(_08079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14145_ (.A1_N(_06930_),
+ sky130_fd_sc_hd__a2bb2o_1 _13109_ (.A1_N(_06556_),
     .A2_N(_00293_),
-    .B1(_06930_),
+    .B1(_06556_),
     .B2(_00293_),
-    .X(_03145_),
+    .X(_02838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14146_ (.A1(_06931_),
-    .A2(_03144_),
-    .B1(_03145_),
-    .Y(_03146_),
+ sky130_fd_sc_hd__a21oi_1 _13110_ (.A1(_06557_),
+    .A2(_08079_),
+    .B1(_02838_),
+    .Y(_02839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14147_ (.A1(_06968_),
-    .A2(_03144_),
-    .B1(_03145_),
-    .X(_03147_),
+ sky130_fd_sc_hd__o21a_1 _13111_ (.A1(_06592_),
+    .A2(_08079_),
+    .B1(_02838_),
+    .X(_02840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14148_ (.A(_06934_),
+ sky130_fd_sc_hd__or2_1 _13112_ (.A(_06560_),
     .B(_00296_),
-    .X(_03148_),
+    .X(_02841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14149_ (.A1(_06935_),
+ sky130_fd_sc_hd__a21bo_1 _13113_ (.A1(_06561_),
     .A2(_00296_),
-    .B1_N(_03148_),
-    .X(_03149_),
+    .B1_N(_02841_),
+    .X(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14150_ (.A1(_06950_),
+ sky130_fd_sc_hd__o21a_1 _13114_ (.A1(_06575_),
     .A2(_00288_),
-    .B1(_03149_),
-    .X(_03150_),
+    .B1(_02842_),
+    .X(_02843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _14151_ (.A(_06950_),
+ sky130_fd_sc_hd__or3_1 _13115_ (.A(_06575_),
     .B(_00288_),
-    .C(_03149_),
-    .X(_03151_),
+    .C(_02842_),
+    .X(_02844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4b_1 _14152_ (.A(_03146_),
-    .B(_03147_),
-    .C(_03150_),
-    .D_N(_03151_),
-    .X(_03152_),
+ sky130_fd_sc_hd__or4b_1 _13116_ (.A(_02839_),
+    .B(_02840_),
+    .C(_02843_),
+    .D_N(_02844_),
+    .X(_02845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14153_ (.A1_N(_06937_),
+ sky130_fd_sc_hd__a2bb2o_1 _13117_ (.A1_N(_06563_),
     .A2_N(_00288_),
-    .B1(_06937_),
+    .B1(_06563_),
     .B2(_00288_),
-    .X(_03153_),
+    .X(_02846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ba_1 _14154_ (.A1(_06956_),
+ sky130_fd_sc_hd__o21ba_1 _13118_ (.A1(_06582_),
     .A2(_00293_),
-    .B1_N(_03146_),
-    .X(_03154_),
+    .B1_N(_02839_),
+    .X(_02847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14155_ (.A1_N(_03153_),
-    .A2_N(_03154_),
-    .B1(_03153_),
-    .B2(_03154_),
-    .X(_03155_),
+ sky130_fd_sc_hd__a2bb2o_1 _13119_ (.A1_N(_02846_),
+    .A2_N(_02847_),
+    .B1(_02846_),
+    .B2(_02847_),
+    .X(_02848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o311a_1 _14156_ (.A1(_03153_),
-    .A2(_03154_),
-    .A3(_03149_),
-    .B1(_03148_),
-    .C1(_03151_),
-    .X(_03156_),
+ sky130_fd_sc_hd__o311a_1 _13120_ (.A1(_02846_),
+    .A2(_02847_),
+    .A3(_02842_),
+    .B1(_02841_),
+    .C1(_02844_),
+    .X(_02849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14157_ (.A(_03156_),
-    .Y(_03157_),
+ sky130_fd_sc_hd__inv_2 _13121_ (.A(_02849_),
+    .Y(_02850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14158_ (.A1_N(_06943_),
+ sky130_fd_sc_hd__a2bb2o_1 _13122_ (.A1_N(_06569_),
     .A2_N(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
-    .B1(_06943_),
+    .B1(_06569_),
     .B2(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
-    .X(_03158_),
+    .X(_02851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14159_ (.A1_N(_03157_),
-    .A2_N(_03158_),
-    .B1(_03157_),
-    .B2(_03158_),
-    .X(_03159_),
+ sky130_fd_sc_hd__a2bb2o_1 _13123_ (.A1_N(_02850_),
+    .A2_N(_02851_),
+    .B1(_02850_),
+    .B2(_02851_),
+    .X(_02852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor3_1 _14160_ (.A(_03152_),
-    .B(_03155_),
-    .C(_03159_),
+ sky130_fd_sc_hd__nor3_1 _13124_ (.A(_02845_),
+    .B(_02848_),
+    .C(_02852_),
     .Y(_00297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14161_ (.A(_05875_),
-    .B(_03159_),
+ sky130_fd_sc_hd__nor2_1 _13125_ (.A(_05597_),
+    .B(_02852_),
     .Y(_00298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14162_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__clkinv_4 _13126_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[1] ),
     .Y(_00306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14163_ (.A1_N(_00306_),
+ sky130_fd_sc_hd__a2bb2o_1 _13127_ (.A1_N(_00306_),
     .A2_N(\u_uart_core.u_rxfifo.sync_wr_ptr_1[0] ),
     .B1(_00306_),
     .B2(\u_uart_core.u_rxfifo.sync_wr_ptr_1[0] ),
@@ -226036,13 +221797,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14164_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[3] ),
+ sky130_fd_sc_hd__clkinv_4 _13128_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[3] ),
     .Y(_00309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14165_ (.A1_N(_00309_),
+ sky130_fd_sc_hd__a2bb2o_1 _13129_ (.A1_N(_00309_),
     .A2_N(\u_uart_core.u_rxfifo.sync_wr_ptr_1[2] ),
     .B1(_00309_),
     .B2(\u_uart_core.u_rxfifo.sync_wr_ptr_1[2] ),
@@ -226051,270 +221812,312 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14166_ (.A(_00300_),
+ sky130_fd_sc_hd__clkinv_2 _13130_ (.A(_00300_),
     .Y(_00301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14167_ (.A(_00299_),
+ sky130_fd_sc_hd__clkinv_2 _13131_ (.A(_00299_),
     .Y(_00303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14168_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _13132_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[1] ),
+    .X(_02853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13133_ (.A(_02853_),
     .X(_00305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14169_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _13134_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[3] ),
+    .X(_02854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13135_ (.A(_02854_),
     .X(_00308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14170_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .Y(_03160_),
+ sky130_fd_sc_hd__inv_2 _13136_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .Y(_02855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14171_ (.A(_03160_),
+ sky130_fd_sc_hd__nor2_1 _13137_ (.A(_02855_),
     .B(_00302_),
-    .Y(_03161_),
+    .Y(_02856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14172_ (.A(_00307_),
-    .Y(_03162_),
+ sky130_fd_sc_hd__inv_2 _13138_ (.A(_00307_),
+    .Y(_02857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14173_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .A2(_03162_),
-    .B1(_06973_),
+ sky130_fd_sc_hd__o22a_1 _13139_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .A2(_02857_),
+    .B1(_06597_),
     .B2(_00307_),
-    .X(_03163_),
+    .X(_02858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _14174_ (.A1(_06974_),
+ sky130_fd_sc_hd__o21ai_2 _13140_ (.A1(_06598_),
     .A2(_00304_),
-    .B1(_03163_),
-    .Y(_03164_),
+    .B1(_02858_),
+    .Y(_02859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _14175_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .A2(_03162_),
-    .B1(_03164_),
-    .Y(_03165_),
+ sky130_fd_sc_hd__o21ai_1 _13141_ (.A1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .A2(_02857_),
+    .B1(_02859_),
+    .Y(_02860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14176_ (.A(_03165_),
-    .Y(_03166_),
+ sky130_fd_sc_hd__inv_2 _13142_ (.A(_02860_),
+    .Y(_02861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _14177_ (.A1_N(_03160_),
+ sky130_fd_sc_hd__o2bb2a_2 _13143_ (.A1_N(_02855_),
     .A2_N(_00302_),
-    .B1(_03161_),
-    .B2(_03166_),
-    .X(_03167_),
+    .B1(_02856_),
+    .B2(_02861_),
+    .X(_02862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14178_ (.A(_06971_),
+ sky130_fd_sc_hd__nor2_1 _13144_ (.A(_06595_),
     .B(_00310_),
-    .Y(_03168_),
+    .Y(_02863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _14179_ (.A1(_06972_),
+ sky130_fd_sc_hd__a21o_1 _13145_ (.A1(_06595_),
     .A2(_00310_),
-    .B1(_03168_),
-    .X(_03169_),
+    .B1(_02863_),
+    .X(_02864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2ai_2 _14180_ (.A1_N(_03167_),
-    .A2_N(_03169_),
-    .B1(_03167_),
-    .B2(_03169_),
-    .Y(_03170_),
+ sky130_fd_sc_hd__o2bb2ai_4 _13146_ (.A1_N(_02862_),
+    .A2_N(_02864_),
+    .B1(_02862_),
+    .B2(_02864_),
+    .Y(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14181_ (.A1(_03160_),
+ sky130_fd_sc_hd__a21oi_1 _13147_ (.A1(_02855_),
     .A2(_00302_),
-    .B1(_03161_),
-    .Y(_03171_),
+    .B1(_02856_),
+    .Y(_02866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14182_ (.A1_N(_03165_),
-    .A2_N(_03171_),
-    .B1(_03165_),
-    .B2(_03171_),
-    .X(_03172_),
+ sky130_fd_sc_hd__a2bb2o_2 _13148_ (.A1_N(_02860_),
+    .A2_N(_02866_),
+    .B1(_02860_),
+    .B2(_02866_),
+    .X(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _14183_ (.A(_03170_),
-    .B(_03172_),
-    .Y(_03173_),
+ sky130_fd_sc_hd__nand2_1 _13149_ (.A(_02865_),
+    .B(_02867_),
+    .Y(_02868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _14184_ (.A(_06974_),
+ sky130_fd_sc_hd__nand2_1 _13150_ (.A(_06598_),
     .B(_00304_),
-    .Y(_03174_),
+    .Y(_02869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14185_ (.A1(_06983_),
+ sky130_fd_sc_hd__o21a_1 _13151_ (.A1(_06607_),
     .A2(_00304_),
-    .B1(_03174_),
-    .X(_03175_),
+    .B1(_02869_),
+    .X(_02870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31ai_2 _14186_ (.A1(_06983_),
+ sky130_fd_sc_hd__o31ai_4 _13152_ (.A1(_06607_),
     .A2(_00304_),
-    .A3(_03163_),
-    .B1(_03164_),
-    .Y(_03176_),
+    .A3(_02858_),
+    .B1(_02859_),
+    .Y(_02871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2ai_1 _14187_ (.A1_N(_06971_),
+ sky130_fd_sc_hd__o2bb2ai_1 _13153_ (.A1_N(_06595_),
     .A2_N(_00310_),
-    .B1(_03168_),
-    .B2(_03167_),
-    .Y(_03177_),
+    .B1(_02863_),
+    .B2(_02862_),
+    .Y(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14188_ (.A1_N(_06977_),
+ sky130_fd_sc_hd__a2bb2o_1 _13154_ (.A1_N(_06601_),
     .A2_N(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
-    .B1(_06977_),
+    .B1(_06601_),
     .B2(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
-    .X(_03178_),
+    .X(_02873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14189_ (.A1_N(_03177_),
-    .A2_N(_03178_),
-    .B1(_03177_),
-    .B2(_03178_),
-    .X(_03179_),
+ sky130_fd_sc_hd__a2bb2o_1 _13155_ (.A1_N(_02872_),
+    .A2_N(_02873_),
+    .B1(_02872_),
+    .B2(_02873_),
+    .X(_02874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14190_ (.A(_03179_),
-    .Y(_03180_),
+ sky130_fd_sc_hd__inv_2 _13156_ (.A(_02874_),
+    .Y(_02875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4bb_1 _14191_ (.A_N(_03173_),
-    .B_N(_03175_),
-    .C(_03176_),
-    .D(_03180_),
+ sky130_fd_sc_hd__and4bb_1 _13157_ (.A_N(_02868_),
+    .B_N(_02870_),
+    .C(_02871_),
+    .D(_02875_),
+    .X(_02876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13158_ (.A(_02876_),
     .X(_00311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14192_ (.A(_03174_),
-    .Y(_03181_),
+ sky130_fd_sc_hd__inv_2 _13159_ (.A(_02869_),
+    .Y(_02877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o41a_1 _14193_ (.A1(_03164_),
-    .A2(_03181_),
-    .A3(_03173_),
-    .A4(_03179_),
+ sky130_fd_sc_hd__o41a_1 _13160_ (.A1(_02859_),
+    .A2(_02877_),
+    .A3(_02868_),
+    .A4(_02874_),
     .B1(\u_uart_core.app_rxfifo_empty ),
     .X(_00312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14194_ (.A(net43),
-    .Y(_03182_),
+ sky130_fd_sc_hd__inv_2 _13161_ (.A(net43),
+    .Y(_02878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14195_ (.A(_03182_),
+ sky130_fd_sc_hd__and3_1 _13162_ (.A(_02878_),
     .B(net44),
     .C(net7),
+    .X(_02879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13163_ (.A(_02879_),
     .X(\u_usb_host.in_dp ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14196_ (.A(_03182_),
+ sky130_fd_sc_hd__and3_1 _13164_ (.A(_02878_),
     .B(net44),
     .C(net6),
+    .X(_02880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13165_ (.A(_02880_),
     .X(\u_usb_host.in_dn ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14197_ (.A(net6),
-    .Y(_03183_),
+ sky130_fd_sc_hd__inv_2 _13166_ (.A(net6),
+    .Y(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14198_ (.A(_03183_),
-    .B(net145),
+ sky130_fd_sc_hd__and2_1 _13167_ (.A(_02881_),
+    .B(\u_usb_host.in_dp ),
+    .X(_02882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13168_ (.A(_02882_),
     .X(\u_usb_host.u_phy.in_rx_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14199_ (.A(_03069_),
-    .B(_03073_),
-    .C(_05910_),
+ sky130_fd_sc_hd__and3_1 _13169_ (.A(_07999_),
+    .B(_08001_),
+    .C(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+    .X(_02883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13170_ (.A(_02883_),
     .X(_00168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14200_ (.A(_06258_),
-    .B(_06133_),
+ sky130_fd_sc_hd__nor2_1 _13171_ (.A(_05951_),
+    .B(_05831_),
     .Y(_00134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor4_1 _14201_ (.A(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+ sky130_fd_sc_hd__nor4_1 _13172_ (.A(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
     .B(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
     .C(\u_usb_host.u_core.u_sie.utmi_linestate_q[1] ),
     .D(\u_usb_host.u_core.u_sie.utmi_linestate_q[0] ),
@@ -226323,9723 +222126,10597 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _14202_ (.A(_03182_),
+ sky130_fd_sc_hd__or2_4 _13173_ (.A(_02878_),
     .B(net44),
-    .X(_03184_),
+    .X(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _14203_ (.A(_03184_),
+ sky130_fd_sc_hd__buf_4 _13174_ (.A(_02884_),
+    .X(_02885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_8 _13175_ (.A(_02885_),
     .Y(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _14204_ (.A(net43),
+ sky130_fd_sc_hd__or2_4 _13176_ (.A(net43),
     .B(net44),
-    .X(_03185_),
+    .X(_02886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _14205_ (.A(_03185_),
+ sky130_fd_sc_hd__clkinv_8 _13177_ (.A(_02886_),
     .Y(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14206_ (.A(_03184_),
-    .X(_03186_),
+ sky130_fd_sc_hd__clkbuf_2 _13178_ (.A(_02884_),
+    .X(_02887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14207_ (.A(_03185_),
-    .X(_03187_),
+ sky130_fd_sc_hd__clkbuf_2 _13179_ (.A(_02886_),
+    .X(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _14208_ (.A(\u_usb_host.out_dn ),
-    .B(_03186_),
-    .C(_03187_),
+ sky130_fd_sc_hd__and3_4 _13180_ (.A(\u_usb_host.out_dn ),
+    .B(_02887_),
+    .C(_02888_),
+    .X(_02889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13181_ (.A(_02889_),
     .X(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _14209_ (.A(_00316_),
-    .B(_03187_),
+ sky130_fd_sc_hd__and2_4 _13182_ (.A(_00316_),
+    .B(_02888_),
+    .X(_02890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13183_ (.A(_02890_),
     .X(net49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14210_ (.A(\u_usb_host.out_dp ),
-    .B(_03186_),
+ sky130_fd_sc_hd__and2_1 _13184_ (.A(\u_usb_host.out_dp ),
+    .B(_02887_),
+    .X(_02891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13185_ (.A(_02891_),
     .X(_00317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _14211_ (.A(_00334_),
-    .B(_03186_),
-    .C(_03187_),
+ sky130_fd_sc_hd__and3_1 _13186_ (.A(_00334_),
+    .B(_02887_),
+    .C(_02888_),
+    .X(_02892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_4 _13187_ (.A(_02892_),
     .X(net83),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14212_ (.A(_03184_),
-    .X(_03188_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13188_ (.A(_02884_),
+    .X(_02893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14213_ (.A(_03188_),
-    .X(_03189_),
+ sky130_fd_sc_hd__clkbuf_1 _13189_ (.A(_02893_),
+    .X(_02894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14214_ (.A(_03185_),
-    .X(_03190_),
+ sky130_fd_sc_hd__clkbuf_1 _13190_ (.A(_02886_),
+    .X(_02895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14215_ (.A(_03190_),
-    .X(_03191_),
+ sky130_fd_sc_hd__clkbuf_1 _13191_ (.A(_02895_),
+    .X(_02896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14216_ (.A(_00335_),
-    .B(_03189_),
-    .C(_03191_),
+ sky130_fd_sc_hd__and3_1 _13192_ (.A(_00335_),
+    .B(_02894_),
+    .C(_02896_),
+    .X(_02897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13193_ (.A(_02897_),
     .X(net84),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14217_ (.A(_00336_),
-    .B(_03189_),
-    .C(_03191_),
+ sky130_fd_sc_hd__and3_1 _13194_ (.A(_00336_),
+    .B(_02894_),
+    .C(_02896_),
+    .X(_02898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13195_ (.A(_02898_),
     .X(net54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14218_ (.A(_00337_),
-    .B(_03189_),
-    .C(_03191_),
+ sky130_fd_sc_hd__and3_1 _13196_ (.A(_00337_),
+    .B(_02894_),
+    .C(_02896_),
+    .X(_02899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13197_ (.A(_02899_),
     .X(net55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14219_ (.A(_00338_),
-    .B(_03189_),
-    .C(_03191_),
+ sky130_fd_sc_hd__and3_1 _13198_ (.A(_00338_),
+    .B(_02894_),
+    .C(_02896_),
+    .X(_02900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13199_ (.A(_02900_),
     .X(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14220_ (.A(_03184_),
-    .X(_03192_),
+ sky130_fd_sc_hd__clkbuf_1 _13200_ (.A(_02893_),
+    .X(_02901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14221_ (.A(_03192_),
-    .X(_03193_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13201_ (.A(_02886_),
+    .X(_02902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14222_ (.A(_03185_),
-    .X(_03194_),
+ sky130_fd_sc_hd__clkbuf_1 _13202_ (.A(_02902_),
+    .X(_02903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14223_ (.A(_03194_),
-    .X(_03195_),
+ sky130_fd_sc_hd__and3_1 _13203_ (.A(_00339_),
+    .B(_02901_),
+    .C(_02903_),
+    .X(_02904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14224_ (.A(_00339_),
-    .B(_03193_),
-    .C(_03195_),
+ sky130_fd_sc_hd__clkbuf_1 _13204_ (.A(_02904_),
     .X(net57),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14225_ (.A(_00340_),
-    .B(_03193_),
-    .C(_03195_),
+ sky130_fd_sc_hd__and3_1 _13205_ (.A(_00340_),
+    .B(_02901_),
+    .C(_02903_),
+    .X(_02905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13206_ (.A(_02905_),
     .X(net58),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14226_ (.A(_00341_),
-    .B(_03193_),
-    .C(_03195_),
+ sky130_fd_sc_hd__and3_1 _13207_ (.A(_00341_),
+    .B(_02901_),
+    .C(_02903_),
+    .X(_02906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13208_ (.A(_02906_),
     .X(net59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14227_ (.A(_00342_),
-    .B(_03193_),
-    .C(_03195_),
+ sky130_fd_sc_hd__and3_1 _13209_ (.A(_00342_),
+    .B(_02901_),
+    .C(_02903_),
+    .X(_02907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13210_ (.A(_02907_),
     .X(net60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14228_ (.A(_03192_),
-    .X(_03196_),
+ sky130_fd_sc_hd__clkbuf_1 _13211_ (.A(_02893_),
+    .X(_02908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14229_ (.A(_03194_),
-    .X(_03197_),
+ sky130_fd_sc_hd__clkbuf_1 _13212_ (.A(_02902_),
+    .X(_02909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14230_ (.A(_00343_),
-    .B(_03196_),
-    .C(_03197_),
+ sky130_fd_sc_hd__and3_1 _13213_ (.A(_00343_),
+    .B(_02908_),
+    .C(_02909_),
+    .X(_02910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13214_ (.A(_02910_),
     .X(net61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14231_ (.A(_00344_),
-    .B(_03196_),
-    .C(_03197_),
+ sky130_fd_sc_hd__and3_1 _13215_ (.A(_00344_),
+    .B(_02908_),
+    .C(_02909_),
+    .X(_02911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13216_ (.A(_02911_),
     .X(net62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14232_ (.A(_00345_),
-    .B(_03196_),
-    .C(_03197_),
+ sky130_fd_sc_hd__and3_1 _13217_ (.A(_00345_),
+    .B(_02908_),
+    .C(_02909_),
+    .X(_02912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13218_ (.A(_02912_),
     .X(net63),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14233_ (.A(_00346_),
-    .B(_03196_),
-    .C(_03197_),
+ sky130_fd_sc_hd__and3_1 _13219_ (.A(_00346_),
+    .B(_02908_),
+    .C(_02909_),
+    .X(_02913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13220_ (.A(_02913_),
     .X(net65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14234_ (.A(_03192_),
-    .X(_03198_),
+ sky130_fd_sc_hd__clkbuf_1 _13221_ (.A(_02893_),
+    .X(_02914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14235_ (.A(_03194_),
-    .X(_03199_),
+ sky130_fd_sc_hd__clkbuf_1 _13222_ (.A(_02902_),
+    .X(_02915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14236_ (.A(_00347_),
-    .B(_03198_),
-    .C(_03199_),
+ sky130_fd_sc_hd__and3_1 _13223_ (.A(_00347_),
+    .B(_02914_),
+    .C(_02915_),
+    .X(_02916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13224_ (.A(_02916_),
     .X(net66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14237_ (.A(_00348_),
-    .B(_03198_),
-    .C(_03199_),
+ sky130_fd_sc_hd__and3_1 _13225_ (.A(_00348_),
+    .B(_02914_),
+    .C(_02915_),
+    .X(_02917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13226_ (.A(_02917_),
     .X(net67),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14238_ (.A(_00349_),
-    .B(_03198_),
-    .C(_03199_),
+ sky130_fd_sc_hd__and3_1 _13227_ (.A(_00349_),
+    .B(_02914_),
+    .C(_02915_),
+    .X(_02918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13228_ (.A(_02918_),
     .X(net68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14239_ (.A(_00350_),
-    .B(_03198_),
-    .C(_03199_),
+ sky130_fd_sc_hd__and3_1 _13229_ (.A(_00350_),
+    .B(_02914_),
+    .C(_02915_),
+    .X(_02919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13230_ (.A(_02919_),
     .X(net69),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14240_ (.A(_03192_),
-    .X(_03200_),
+ sky130_fd_sc_hd__clkbuf_1 _13231_ (.A(_02884_),
+    .X(_02920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _14241_ (.A(_03194_),
-    .X(_03201_),
+ sky130_fd_sc_hd__clkbuf_1 _13232_ (.A(_02902_),
+    .X(_02921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14242_ (.A(_00351_),
-    .B(_03200_),
-    .C(_03201_),
+ sky130_fd_sc_hd__and3_1 _13233_ (.A(_00351_),
+    .B(_02920_),
+    .C(_02921_),
+    .X(_02922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13234_ (.A(_02922_),
     .X(net70),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14243_ (.A(_00352_),
-    .B(_03200_),
-    .C(_03201_),
+ sky130_fd_sc_hd__and3_1 _13235_ (.A(_00352_),
+    .B(_02920_),
+    .C(_02921_),
+    .X(_02923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13236_ (.A(_02923_),
     .X(net71),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14244_ (.A(_00353_),
-    .B(_03200_),
-    .C(_03201_),
+ sky130_fd_sc_hd__and3_1 _13237_ (.A(_00353_),
+    .B(_02920_),
+    .C(_02921_),
+    .X(_02924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13238_ (.A(_02924_),
     .X(net72),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14245_ (.A(_00354_),
-    .B(_03200_),
-    .C(_03201_),
+ sky130_fd_sc_hd__and3_1 _13239_ (.A(_00354_),
+    .B(_02920_),
+    .C(_02921_),
+    .X(_02925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13240_ (.A(_02925_),
     .X(net73),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14246_ (.A(_00355_),
-    .B(_03188_),
-    .C(_03190_),
+ sky130_fd_sc_hd__and3_1 _13241_ (.A(_00355_),
+    .B(_02885_),
+    .C(_02895_),
+    .X(_02926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13242_ (.A(_02926_),
     .X(net74),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14247_ (.A(_00356_),
-    .B(_03188_),
-    .C(_03190_),
+ sky130_fd_sc_hd__and3_1 _13243_ (.A(_00356_),
+    .B(_02885_),
+    .C(_02895_),
+    .X(_02927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13244_ (.A(_02927_),
     .X(net76),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14248_ (.A(_00357_),
-    .B(_03188_),
-    .C(_03190_),
+ sky130_fd_sc_hd__and3_1 _13245_ (.A(_00357_),
+    .B(_02885_),
+    .C(_02895_),
+    .X(_02928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13246_ (.A(_02928_),
     .X(net77),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _14249_ (.A(_07032_),
-    .B(_07022_),
-    .C(_07018_),
-    .X(_03202_),
+ sky130_fd_sc_hd__or3_1 _13247_ (.A(_06650_),
+    .B(_06640_),
+    .C(_06637_),
+    .X(_02929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14250_ (.A(_07031_),
-    .B(_03202_),
-    .X(_03203_),
+ sky130_fd_sc_hd__or2_1 _13248_ (.A(_06649_),
+    .B(_02929_),
+    .X(_02930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14251_ (.A(_03203_),
-    .Y(_03204_),
+ sky130_fd_sc_hd__inv_2 _13249_ (.A(_02930_),
+    .Y(_02931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _14252_ (.A1(_07027_),
-    .A2(_03203_),
+ sky130_fd_sc_hd__a22o_1 _13250_ (.A1(_06644_),
+    .A2(_02930_),
     .B1(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
-    .B2(_03204_),
+    .B2(_02931_),
     .X(_00359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _14253_ (.A(_03202_),
-    .B(_07033_),
-    .Y(_00192_),
+ sky130_fd_sc_hd__nand2_1 _13251_ (.A(_02929_),
+    .B(_06651_),
+    .Y(_00175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14254_ (.A1(_07031_),
-    .A2(_03202_),
-    .B1(_03204_),
+ sky130_fd_sc_hd__a21oi_1 _13252_ (.A1(_06649_),
+    .A2(_02929_),
+    .B1(_02931_),
     .Y(_00360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14255_ (.A(_00360_),
-    .Y(_00191_),
+ sky130_fd_sc_hd__clkinv_2 _13253_ (.A(_00360_),
+    .Y(_00174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14256_ (.A1(_07032_),
-    .A2(_07023_),
-    .B1(_07020_),
+ sky130_fd_sc_hd__o22a_1 _13254_ (.A1(_06650_),
+    .A2(_06646_),
+    .B1(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .B2(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .X(_00361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14257_ (.A(_00361_),
-    .Y(_00198_),
+ sky130_fd_sc_hd__clkinv_2 _13255_ (.A(_00361_),
+    .Y(_00179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14258_ (.A1_N(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
-    .A2_N(_06995_),
+ sky130_fd_sc_hd__a2bb2o_1 _13256_ (.A1_N(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
+    .A2_N(_06619_),
     .B1(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
-    .B2(_06995_),
+    .B2(_06619_),
     .X(_00362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14259_ (.A1(_07011_),
-    .A2(_07013_),
-    .B1(_06994_),
+ sky130_fd_sc_hd__a21oi_1 _13257_ (.A1(_06631_),
+    .A2(_06633_),
+    .B1(_06618_),
     .Y(_00364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14260_ (.A(_00364_),
+ sky130_fd_sc_hd__clkinv_2 _13258_ (.A(_00364_),
     .Y(_00365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14261_ (.A(_00367_),
-    .Y(_00193_),
+ sky130_fd_sc_hd__clkinv_2 _13259_ (.A(_00367_),
+    .Y(_00182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14262_ (.A(_00368_),
-    .Y(_00195_),
+ sky130_fd_sc_hd__clkinv_2 _13260_ (.A(_00368_),
+    .Y(_00176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14263_ (.A1(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
-    .A2(_06952_),
-    .B1(_06943_),
-    .B2(_06953_),
+ sky130_fd_sc_hd__o22a_1 _13261_ (.A1(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+    .A2(_06578_),
+    .B1(_06569_),
+    .B2(_06579_),
     .X(_00369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _14264_ (.A1(_06963_),
-    .A2(_06965_),
-    .B1(_06958_),
+ sky130_fd_sc_hd__o21ai_1 _13262_ (.A1(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .A2(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .B1(_06584_),
     .Y(_00372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14265_ (.A(_00372_),
+ sky130_fd_sc_hd__clkinv_2 _13263_ (.A(_00372_),
     .Y(_00371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14266_ (.A(\u_uart_core.u_clk_ctl.low_count[0] ),
+ sky130_fd_sc_hd__clkinv_2 _13264_ (.A(\u_uart_core.u_clk_ctl.low_count[0] ),
     .Y(_00373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14267_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .Y(_03205_),
+ sky130_fd_sc_hd__inv_2 _13265_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
+    .Y(_02932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14268_ (.A(_03205_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13266_ (.A(_02932_),
     .X(_00374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14269_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .Y(_03206_),
+ sky130_fd_sc_hd__inv_2 _13267_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .Y(_02933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14270_ (.A(_03205_),
-    .B(_03206_),
-    .X(_03207_),
+ sky130_fd_sc_hd__or2_1 _13268_ (.A(_02932_),
+    .B(_02933_),
+    .X(_02934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14271_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_06904_),
-    .B1(_03207_),
+ sky130_fd_sc_hd__o21a_1 _13269_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .B1(_02934_),
     .X(_00375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14272_ (.A1(\u_uart_core.u_clk_ctl.low_count[1] ),
+ sky130_fd_sc_hd__a21bo_1 _13270_ (.A1(\u_uart_core.u_clk_ctl.low_count[1] ),
     .A2(\u_uart_core.u_clk_ctl.low_count[0] ),
-    .B1_N(_05777_),
+    .B1_N(_05510_),
     .X(_00376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14273_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .Y(_03208_),
+ sky130_fd_sc_hd__inv_2 _13271_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ),
+    .Y(_02935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14274_ (.A(_03208_),
-    .X(_03209_),
+ sky130_fd_sc_hd__clkbuf_2 _13272_ (.A(_02935_),
+    .X(_02936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14275_ (.A(_03208_),
-    .B(_03207_),
-    .X(_03210_),
+ sky130_fd_sc_hd__or2_1 _13273_ (.A(_02935_),
+    .B(_02934_),
+    .X(_02937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_1 _14276_ (.A1(_03209_),
-    .A2(_03207_),
-    .B1_N(_03210_),
+ sky130_fd_sc_hd__a21boi_1 _13274_ (.A1(_02936_),
+    .A2(_02934_),
+    .B1_N(_02937_),
     .Y(_00377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14277_ (.A1(\u_uart_core.u_clk_ctl.low_count[2] ),
-    .A2(_05777_),
-    .B1_N(_05778_),
+ sky130_fd_sc_hd__a21bo_1 _13275_ (.A1(\u_uart_core.u_clk_ctl.low_count[2] ),
+    .A2(_05510_),
+    .B1_N(_05511_),
     .X(_00378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14278_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .Y(_03211_),
+ sky130_fd_sc_hd__inv_2 _13276_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .Y(_02938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14279_ (.A(_03211_),
-    .B(_03210_),
-    .X(_03212_),
+ sky130_fd_sc_hd__or2_2 _13277_ (.A(_02938_),
+    .B(_02937_),
+    .X(_02939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14280_ (.A(_03212_),
-    .Y(_03213_),
+ sky130_fd_sc_hd__inv_2 _13278_ (.A(_02939_),
+    .Y(_02940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14281_ (.A1(_03211_),
-    .A2(_03210_),
-    .B1(_03213_),
+ sky130_fd_sc_hd__a21oi_1 _13279_ (.A1(_02938_),
+    .A2(_02937_),
+    .B1(_02940_),
     .Y(_00379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14282_ (.A1(\u_uart_core.u_clk_ctl.low_count[3] ),
-    .A2(_05778_),
-    .B1_N(_05779_),
+ sky130_fd_sc_hd__a21bo_1 _13280_ (.A1(\u_uart_core.u_clk_ctl.low_count[3] ),
+    .A2(_05511_),
+    .B1_N(_05512_),
     .X(_00380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14283_ (.A(_06926_),
-    .B(_03212_),
-    .X(_03214_),
+ sky130_fd_sc_hd__or2_1 _13281_ (.A(_06552_),
+    .B(_02939_),
+    .X(_02941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14284_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_03213_),
-    .B1(_03214_),
+ sky130_fd_sc_hd__o21a_1 _13282_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(_02940_),
+    .B1(_02941_),
     .X(_00381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14285_ (.A1(\u_uart_core.u_clk_ctl.low_count[4] ),
-    .A2(_05779_),
-    .B1_N(_05780_),
+ sky130_fd_sc_hd__a21bo_1 _13283_ (.A1(\u_uart_core.u_clk_ctl.low_count[4] ),
+    .A2(_05512_),
+    .B1_N(_05513_),
     .X(_00382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14286_ (.A(_06922_),
-    .B(_03214_),
-    .Y(_03215_),
+ sky130_fd_sc_hd__nor2_1 _13284_ (.A(_06548_),
+    .B(_02941_),
+    .Y(_02942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14287_ (.A1(_06923_),
-    .A2(_03214_),
-    .B1(_03215_),
+ sky130_fd_sc_hd__a21oi_1 _13285_ (.A1(_06549_),
+    .A2(_02941_),
+    .B1(_02942_),
     .Y(_00383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14288_ (.A1(\u_uart_core.u_clk_ctl.low_count[5] ),
-    .A2(_05780_),
-    .B1_N(_05781_),
+ sky130_fd_sc_hd__a21bo_1 _13286_ (.A1(\u_uart_core.u_clk_ctl.low_count[5] ),
+    .A2(_05513_),
+    .B1_N(_05514_),
     .X(_00384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _14289_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .B(_03215_),
-    .Y(_03216_),
+ sky130_fd_sc_hd__nand2_1 _13287_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .B(_02942_),
+    .Y(_02943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14290_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_03215_),
-    .B1(_03216_),
+ sky130_fd_sc_hd__o21a_1 _13288_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A2(_02942_),
+    .B1(_02943_),
     .X(_00385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14291_ (.A1(\u_uart_core.u_clk_ctl.low_count[6] ),
-    .A2(_05781_),
-    .B1_N(_05782_),
+ sky130_fd_sc_hd__a21bo_1 _13289_ (.A1(\u_uart_core.u_clk_ctl.low_count[6] ),
+    .A2(_05514_),
+    .B1_N(_05515_),
     .X(_00386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14292_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .Y(_03217_),
+ sky130_fd_sc_hd__inv_2 _13290_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .Y(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14293_ (.A(_03217_),
-    .B(_03216_),
-    .X(_03218_),
+ sky130_fd_sc_hd__or2_1 _13291_ (.A(_02944_),
+    .B(_02943_),
+    .X(_02945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14294_ (.A(_03218_),
-    .Y(_03219_),
+ sky130_fd_sc_hd__inv_2 _13292_ (.A(_02945_),
+    .Y(_02946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_2 _14295_ (.A1(_03217_),
-    .A2(_03216_),
-    .B1(_03219_),
+ sky130_fd_sc_hd__a21oi_1 _13293_ (.A1(_02944_),
+    .A2(_02943_),
+    .B1(_02946_),
     .Y(_00387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14296_ (.A1(\u_uart_core.u_clk_ctl.low_count[7] ),
-    .A2(_05782_),
-    .B1_N(_05783_),
+ sky130_fd_sc_hd__a21bo_1 _13294_ (.A1(\u_uart_core.u_clk_ctl.low_count[7] ),
+    .A2(_05515_),
+    .B1_N(_05516_),
     .X(_00388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14297_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .Y(_03220_),
+ sky130_fd_sc_hd__inv_2 _13295_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
+    .Y(_02947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14298_ (.A(_03220_),
-    .B(_03218_),
-    .X(_03221_),
+ sky130_fd_sc_hd__or2_1 _13296_ (.A(_02947_),
+    .B(_02945_),
+    .X(_02948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14299_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_03219_),
-    .B1(_03221_),
+ sky130_fd_sc_hd__o21a_1 _13297_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_02946_),
+    .B1(_02948_),
     .X(_00389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14300_ (.A1(\u_uart_core.u_clk_ctl.low_count[8] ),
-    .A2(_05783_),
-    .B1_N(_05784_),
+ sky130_fd_sc_hd__a21bo_1 _13298_ (.A1(\u_uart_core.u_clk_ctl.low_count[8] ),
+    .A2(_05516_),
+    .B1_N(_05517_),
     .X(_00390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14301_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .Y(_03222_),
+ sky130_fd_sc_hd__inv_2 _13299_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .Y(_02949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14302_ (.A(_03222_),
-    .B(_03221_),
-    .X(_03223_),
+ sky130_fd_sc_hd__or2_1 _13300_ (.A(_02949_),
+    .B(_02948_),
+    .X(_02950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14303_ (.A(_03223_),
-    .Y(_03224_),
+ sky130_fd_sc_hd__inv_2 _13301_ (.A(_02950_),
+    .Y(_02951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_2 _14304_ (.A1(_03222_),
-    .A2(_03221_),
-    .B1(_03224_),
+ sky130_fd_sc_hd__a21oi_1 _13302_ (.A1(_02949_),
+    .A2(_02948_),
+    .B1(_02951_),
     .Y(_00391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14305_ (.A1(\u_uart_core.u_clk_ctl.low_count[9] ),
-    .A2(_05784_),
-    .B1_N(_05785_),
+ sky130_fd_sc_hd__a21bo_1 _13303_ (.A1(\u_uart_core.u_clk_ctl.low_count[9] ),
+    .A2(_05517_),
+    .B1_N(_05518_),
     .X(_00392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14306_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .Y(_03225_),
+ sky130_fd_sc_hd__inv_2 _13304_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
+    .Y(_02952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _14307_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_03223_),
-    .B1(_03225_),
-    .B2(_03224_),
+ sky130_fd_sc_hd__a22o_1 _13305_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_02950_),
+    .B1(_02952_),
+    .B2(_02951_),
     .X(_00393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _14308_ (.A1(\u_uart_core.u_clk_ctl.low_count[10] ),
-    .A2(_05785_),
+ sky130_fd_sc_hd__a21o_1 _13306_ (.A1(\u_uart_core.u_clk_ctl.low_count[10] ),
+    .A2(_05518_),
     .B1(_00313_),
     .X(_00394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14309_ (.A(\u_uart_core.u_clk_ctl.high_count[0] ),
+ sky130_fd_sc_hd__inv_2 _13307_ (.A(\u_uart_core.u_clk_ctl.high_count[0] ),
     .Y(_00395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14310_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .Y(_03226_),
+ sky130_fd_sc_hd__inv_2 _13308_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .Y(_02953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14311_ (.A(_03226_),
-    .X(_03227_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13309_ (.A(_02953_),
+    .X(_02954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14312_ (.A(_03227_),
-    .X(_03228_),
+ sky130_fd_sc_hd__clkbuf_1 _13310_ (.A(_02954_),
+    .X(_02955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14313_ (.A(_03227_),
+ sky130_fd_sc_hd__nor2_1 _13311_ (.A(_02954_),
     .B(_00374_),
-    .Y(_03229_),
+    .Y(_02956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14314_ (.A1(_03228_),
+ sky130_fd_sc_hd__a21oi_1 _13312_ (.A1(_02955_),
     .A2(_00374_),
-    .B1(_03229_),
+    .B1(_02956_),
     .Y(_00396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _14315_ (.A(_06904_),
-    .B(_03229_),
-    .Y(_03230_),
+ sky130_fd_sc_hd__nand2_1 _13313_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .B(_02956_),
+    .Y(_02957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14316_ (.A1(_06904_),
-    .A2(_03229_),
-    .B1(_03230_),
+ sky130_fd_sc_hd__o21a_1 _13314_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_02956_),
+    .B1(_02957_),
     .X(_00397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14317_ (.A1(\u_uart_core.u_clk_ctl.high_count[1] ),
+ sky130_fd_sc_hd__a21bo_1 _13315_ (.A1(\u_uart_core.u_clk_ctl.high_count[1] ),
     .A2(\u_uart_core.u_clk_ctl.high_count[0] ),
-    .B1_N(_05788_),
+    .B1_N(_05521_),
     .X(_00398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14318_ (.A(_03209_),
-    .B(_03230_),
-    .Y(_03231_),
+ sky130_fd_sc_hd__nor2_1 _13316_ (.A(_02936_),
+    .B(_02957_),
+    .Y(_02958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14319_ (.A1(_03209_),
-    .A2(_03230_),
-    .B1(_03231_),
+ sky130_fd_sc_hd__a21oi_1 _13317_ (.A1(_02936_),
+    .A2(_02957_),
+    .B1(_02958_),
     .Y(_00399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14320_ (.A1(\u_uart_core.u_clk_ctl.high_count[2] ),
-    .A2(_05788_),
-    .B1_N(_05789_),
+ sky130_fd_sc_hd__a21bo_1 _13318_ (.A1(\u_uart_core.u_clk_ctl.high_count[2] ),
+    .A2(_05521_),
+    .B1_N(_05522_),
     .X(_00400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14321_ (.A1(_03228_),
-    .A2(_03212_),
+ sky130_fd_sc_hd__o22a_1 _13319_ (.A1(_02955_),
+    .A2(_02939_),
     .B1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .B2(_03231_),
+    .B2(_02958_),
     .X(_00401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14322_ (.A1(\u_uart_core.u_clk_ctl.high_count[3] ),
-    .A2(_05789_),
-    .B1_N(_05790_),
+ sky130_fd_sc_hd__a21bo_1 _13320_ (.A1(\u_uart_core.u_clk_ctl.high_count[3] ),
+    .A2(_05522_),
+    .B1_N(_05523_),
     .X(_00402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14323_ (.A(_03228_),
-    .B(_03212_),
-    .Y(_03232_),
+ sky130_fd_sc_hd__nor2_1 _13321_ (.A(_02955_),
+    .B(_02939_),
+    .Y(_02959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14324_ (.A(_03227_),
-    .B(_03214_),
-    .X(_03233_),
+ sky130_fd_sc_hd__or2_1 _13322_ (.A(_02954_),
+    .B(_02941_),
+    .X(_02960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14325_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_03232_),
-    .B1(_03233_),
+ sky130_fd_sc_hd__o21a_1 _13323_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(_02959_),
+    .B1(_02960_),
     .X(_00403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14326_ (.A1(\u_uart_core.u_clk_ctl.high_count[4] ),
-    .A2(_05790_),
-    .B1_N(_05791_),
+ sky130_fd_sc_hd__a21bo_1 _13324_ (.A1(\u_uart_core.u_clk_ctl.high_count[4] ),
+    .A2(_05523_),
+    .B1_N(_05524_),
     .X(_00404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14327_ (.A(_06923_),
-    .B(_03233_),
-    .X(_03234_),
+ sky130_fd_sc_hd__or2_1 _13325_ (.A(_06549_),
+    .B(_02960_),
+    .X(_02961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_1 _14328_ (.A1(_06923_),
-    .A2(_03233_),
-    .B1_N(_03234_),
+ sky130_fd_sc_hd__a21boi_1 _13326_ (.A1(_06549_),
+    .A2(_02960_),
+    .B1_N(_02961_),
     .Y(_00405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14329_ (.A1(\u_uart_core.u_clk_ctl.high_count[5] ),
-    .A2(_05791_),
-    .B1_N(_05792_),
+ sky130_fd_sc_hd__a21bo_1 _13327_ (.A1(\u_uart_core.u_clk_ctl.high_count[5] ),
+    .A2(_05524_),
+    .B1_N(_05525_),
     .X(_00406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14330_ (.A(_03226_),
-    .B(_03216_),
-    .X(_03235_),
+ sky130_fd_sc_hd__or2_1 _13328_ (.A(_02953_),
+    .B(_02943_),
+    .X(_02962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14331_ (.A(_03235_),
-    .Y(_03236_),
+ sky130_fd_sc_hd__inv_2 _13329_ (.A(_02962_),
+    .Y(_02963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14332_ (.A1(_06917_),
-    .A2(_03234_),
-    .B1(_03236_),
+ sky130_fd_sc_hd__a21oi_1 _13330_ (.A1(_06544_),
+    .A2(_02961_),
+    .B1(_02963_),
     .Y(_00407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14333_ (.A1(\u_uart_core.u_clk_ctl.high_count[6] ),
-    .A2(_05792_),
-    .B1_N(_05793_),
+ sky130_fd_sc_hd__a21bo_1 _13331_ (.A1(\u_uart_core.u_clk_ctl.high_count[6] ),
+    .A2(_05525_),
+    .B1_N(_05526_),
     .X(_00408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14334_ (.A(_03217_),
-    .B(_03235_),
-    .X(_03237_),
+ sky130_fd_sc_hd__or2_1 _13332_ (.A(_02944_),
+    .B(_02962_),
+    .X(_02964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14335_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_03236_),
-    .B1(_03237_),
+ sky130_fd_sc_hd__o21a_1 _13333_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_02963_),
+    .B1(_02964_),
     .X(_00409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14336_ (.A1(\u_uart_core.u_clk_ctl.high_count[7] ),
-    .A2(_05793_),
-    .B1_N(_05794_),
+ sky130_fd_sc_hd__a21bo_1 _13334_ (.A1(\u_uart_core.u_clk_ctl.high_count[7] ),
+    .A2(_05526_),
+    .B1_N(_05527_),
     .X(_00410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14337_ (.A(_03220_),
-    .B(_03237_),
-    .Y(_03238_),
+ sky130_fd_sc_hd__nor2_1 _13335_ (.A(_02947_),
+    .B(_02964_),
+    .Y(_02965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14338_ (.A1(_03220_),
-    .A2(_03237_),
-    .B1(_03238_),
+ sky130_fd_sc_hd__a21oi_1 _13336_ (.A1(_02947_),
+    .A2(_02964_),
+    .B1(_02965_),
     .Y(_00411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14339_ (.A1(\u_uart_core.u_clk_ctl.high_count[8] ),
-    .A2(_05794_),
-    .B1_N(_05795_),
+ sky130_fd_sc_hd__a21bo_1 _13337_ (.A1(\u_uart_core.u_clk_ctl.high_count[8] ),
+    .A2(_05527_),
+    .B1_N(_05528_),
     .X(_00412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14340_ (.A(_03227_),
-    .B(_03223_),
-    .X(_03239_),
+ sky130_fd_sc_hd__or2_1 _13338_ (.A(_02955_),
+    .B(_02950_),
+    .X(_02966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14341_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_03238_),
-    .B1(_03239_),
+ sky130_fd_sc_hd__o21a_1 _13339_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_02965_),
+    .B1(_02966_),
     .X(_00413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14342_ (.A1(\u_uart_core.u_clk_ctl.high_count[9] ),
-    .A2(_05795_),
-    .B1_N(_05796_),
+ sky130_fd_sc_hd__a21bo_1 _13340_ (.A1(\u_uart_core.u_clk_ctl.high_count[9] ),
+    .A2(_05528_),
+    .B1_N(_05529_),
     .X(_00414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _14343_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_03224_),
-    .A3(_03225_),
+ sky130_fd_sc_hd__a32o_1 _13341_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_02951_),
+    .A3(_02952_),
     .B1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .B2(_03239_),
+    .B2(_02966_),
     .X(_00415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _14344_ (.A1(\u_uart_core.u_clk_ctl.high_count[10] ),
-    .A2(_05796_),
+ sky130_fd_sc_hd__a21o_1 _13342_ (.A1(\u_uart_core.u_clk_ctl.high_count[10] ),
+    .A2(_05529_),
     .B1(_00228_),
     .X(_00416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14345_ (.A(_03009_),
-    .B(_03066_),
+ sky130_fd_sc_hd__nor2_1 _13343_ (.A(\u_i2cm.i2c_al ),
+    .B(_07994_),
     .Y(_00041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14346_ (.A(_03018_),
-    .Y(_03240_),
+ sky130_fd_sc_hd__inv_2 _13344_ (.A(_07940_),
+    .Y(_02967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14347_ (.A(_05670_),
-    .X(_03241_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14348_ (.A1(_03240_),
-    .A2(_03058_),
-    .B1(_03241_),
+ sky130_fd_sc_hd__o21a_1 _13345_ (.A1(_02967_),
+    .A2(_07986_),
+    .B1(_05412_),
     .X(_00043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _14349_ (.A1(_03041_),
-    .A2(_03061_),
-    .B1(_03015_),
+ sky130_fd_sc_hd__a21oi_1 _13346_ (.A1(_07968_),
+    .A2(_07989_),
+    .B1(_07937_),
     .Y(_00044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14350_ (.A(_05674_),
+ sky130_fd_sc_hd__nor2_1 _13347_ (.A(\u_i2cm.u_byte_ctrl.c_state[2] ),
     .B(\u_i2cm.u_byte_ctrl.c_state[4] ),
     .Y(_00417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14351_ (.A(\u_i2cm.ack ),
-    .B(_05671_),
-    .X(_03242_),
+ sky130_fd_sc_hd__or2_1 _13348_ (.A(\u_i2cm.ack ),
+    .B(\u_i2cm.u_byte_ctrl.core_ack ),
+    .X(_02968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _14352_ (.A1(\u_i2cm.u_byte_ctrl.c_state[4] ),
+ sky130_fd_sc_hd__a22o_1 _13349_ (.A1(\u_i2cm.u_byte_ctrl.c_state[4] ),
     .A2(_00418_),
-    .B1(_05674_),
-    .B2(_03242_),
+    .B1(\u_i2cm.u_byte_ctrl.c_state[2] ),
+    .B2(_02968_),
     .X(_00419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14353_ (.A(_03241_),
+ sky130_fd_sc_hd__and2_1 _13350_ (.A(_05411_),
     .B(_00420_),
+    .X(_02969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13351_ (.A(_02969_),
     .X(_00042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14354_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
+ sky130_fd_sc_hd__clkinv_2 _13352_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
     .Y(_00421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14355_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
+ sky130_fd_sc_hd__a21bo_1 _13353_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
-    .B1_N(_05735_),
+    .B1_N(_05471_),
     .X(_00422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14356_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
-    .A2(_05735_),
-    .B1_N(_05736_),
+ sky130_fd_sc_hd__a21bo_1 _13354_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
+    .A2(_05471_),
+    .B1_N(_05472_),
     .X(_00423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14357_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
-    .A2(_05736_),
-    .B1_N(_05737_),
+ sky130_fd_sc_hd__a21bo_1 _13355_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
+    .A2(_05472_),
+    .B1_N(_05473_),
     .X(_00424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14358_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
-    .A2(_05737_),
-    .B1_N(_05738_),
+ sky130_fd_sc_hd__a21bo_1 _13356_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
+    .A2(_05473_),
+    .B1_N(_05474_),
     .X(_00425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14359_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
-    .A2(_05738_),
-    .B1_N(_05739_),
+ sky130_fd_sc_hd__a21bo_1 _13357_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
+    .A2(_05474_),
+    .B1_N(_05475_),
     .X(_00426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14360_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
-    .A2(_05739_),
-    .B1_N(_05740_),
+ sky130_fd_sc_hd__a21bo_1 _13358_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
+    .A2(_05475_),
+    .B1_N(_05476_),
     .X(_00427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14361_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
-    .A2(_05740_),
-    .B1_N(_05741_),
+ sky130_fd_sc_hd__a21bo_1 _13359_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
+    .A2(_05476_),
+    .B1_N(_05477_),
     .X(_00428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14362_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
-    .A2(_05741_),
-    .B1_N(_05742_),
+ sky130_fd_sc_hd__a21bo_1 _13360_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
+    .A2(_05477_),
+    .B1_N(_05478_),
     .X(_00429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14363_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
-    .A2(_05742_),
-    .B1_N(_05743_),
+ sky130_fd_sc_hd__a21bo_1 _13361_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
+    .A2(_05478_),
+    .B1_N(_05479_),
     .X(_00430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14364_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
-    .A2(_05743_),
-    .B1_N(_05744_),
+ sky130_fd_sc_hd__a21bo_1 _13362_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
+    .A2(_05479_),
+    .B1_N(_05480_),
     .X(_00431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14365_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
-    .A2(_05744_),
-    .B1_N(_05745_),
+ sky130_fd_sc_hd__a21bo_1 _13363_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
+    .A2(_05480_),
+    .B1_N(_05481_),
     .X(_00432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14366_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
-    .A2(_05745_),
-    .B1_N(_05746_),
+ sky130_fd_sc_hd__a21bo_1 _13364_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
+    .A2(_05481_),
+    .B1_N(_05482_),
     .X(_00433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14367_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
-    .A2(_05746_),
-    .B1_N(_05747_),
+ sky130_fd_sc_hd__a21bo_1 _13365_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
+    .A2(_05482_),
+    .B1_N(_05483_),
     .X(_00434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14368_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
-    .A2(_05747_),
-    .B1_N(_05748_),
+ sky130_fd_sc_hd__a21bo_1 _13366_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
+    .A2(_05483_),
+    .B1_N(_05484_),
     .X(_00435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _14369_ (.A1_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
-    .A2_N(_05748_),
+ sky130_fd_sc_hd__a2bb2o_1 _13367_ (.A1_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
+    .A2_N(_05484_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
-    .B2(_05748_),
+    .B2(_05484_),
     .X(_00436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14370_ (.A(\u_i2cm.prer[2] ),
+ sky130_fd_sc_hd__clkinv_2 _13368_ (.A(\u_i2cm.prer[2] ),
     .Y(_00437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14371_ (.A(_05605_),
+ sky130_fd_sc_hd__nor2_1 _13369_ (.A(_05368_),
     .B(_00438_),
     .Y(_00072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14372_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
+ sky130_fd_sc_hd__a21bo_1 _13370_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ),
-    .B1_N(_06857_),
+    .B1_N(_06494_),
     .X(_00439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14373_ (.A(_05652_),
-    .X(_03243_),
+ sky130_fd_sc_hd__and2_1 _13371_ (.A(\u_i2cm.core_en ),
+    .B(_00440_),
+    .X(_02970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14374_ (.A(_03243_),
-    .B(_00440_),
+ sky130_fd_sc_hd__clkbuf_1 _13372_ (.A(_02970_),
     .X(_00077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14375_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[2] ),
-    .A2(_06857_),
-    .B1_N(_06858_),
+ sky130_fd_sc_hd__a21bo_1 _13373_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[2] ),
+    .A2(_06494_),
+    .B1_N(_06495_),
     .X(_00441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14376_ (.A(_03243_),
+ sky130_fd_sc_hd__and2_1 _13374_ (.A(\u_i2cm.core_en ),
     .B(_00442_),
+    .X(_02971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13375_ (.A(_02971_),
     .X(_00078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14377_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
-    .A2(_06858_),
-    .B1_N(_06859_),
+ sky130_fd_sc_hd__a21bo_1 _13376_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
+    .A2(_06495_),
+    .B1_N(_06496_),
     .X(_00443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14378_ (.A(_03243_),
+ sky130_fd_sc_hd__and2_1 _13377_ (.A(\u_i2cm.core_en ),
     .B(_00444_),
+    .X(_02972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13378_ (.A(_02972_),
     .X(_00079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14379_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
-    .A2(_06859_),
-    .B1_N(_06860_),
+ sky130_fd_sc_hd__a21bo_1 _13379_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
+    .A2(_06496_),
+    .B1_N(_06497_),
     .X(_00445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14380_ (.A(_03243_),
+ sky130_fd_sc_hd__and2_1 _13380_ (.A(\u_i2cm.core_en ),
     .B(_00446_),
+    .X(_02973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13381_ (.A(_02973_),
     .X(_00080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14381_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ),
-    .A2(_06860_),
-    .B1_N(_06861_),
+ sky130_fd_sc_hd__a21bo_1 _13382_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ),
+    .A2(_06497_),
+    .B1_N(_06498_),
     .X(_00447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14382_ (.A(_05652_),
-    .X(_03244_),
+ sky130_fd_sc_hd__and2_1 _13383_ (.A(\u_i2cm.core_en ),
+    .B(_00448_),
+    .X(_02974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14383_ (.A(_03244_),
-    .B(_00448_),
+ sky130_fd_sc_hd__clkbuf_1 _13384_ (.A(_02974_),
     .X(_00081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14384_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
-    .A2(_06861_),
-    .B1_N(_06862_),
+ sky130_fd_sc_hd__a21bo_1 _13385_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
+    .A2(_06498_),
+    .B1_N(_06499_),
     .X(_00449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14385_ (.A(_03244_),
+ sky130_fd_sc_hd__and2_1 _13386_ (.A(\u_i2cm.core_en ),
     .B(_00450_),
+    .X(_02975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13387_ (.A(_02975_),
     .X(_00082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14386_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
-    .A2(_06862_),
-    .B1_N(_06863_),
+ sky130_fd_sc_hd__a21bo_1 _13388_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
+    .A2(_06499_),
+    .B1_N(_06500_),
     .X(_00451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14387_ (.A(_03244_),
+ sky130_fd_sc_hd__and2_1 _13389_ (.A(\u_i2cm.core_en ),
     .B(_00452_),
+    .X(_02976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13390_ (.A(_02976_),
     .X(_00083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14388_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ),
-    .A2(_06863_),
-    .B1_N(_06864_),
+ sky130_fd_sc_hd__a21bo_1 _13391_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ),
+    .A2(_06500_),
+    .B1_N(_06501_),
     .X(_00453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14389_ (.A(_03244_),
+ sky130_fd_sc_hd__and2_1 _13392_ (.A(\u_i2cm.core_en ),
     .B(_00454_),
+    .X(_02977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13393_ (.A(_02977_),
     .X(_00084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14390_ (.A(\u_i2cm.prer[11] ),
+ sky130_fd_sc_hd__clkinv_2 _13394_ (.A(\u_i2cm.prer[11] ),
     .Y(_00455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_1 _14391_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
-    .A2(_06864_),
-    .B1_N(_06865_),
+ sky130_fd_sc_hd__a21boi_1 _13395_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
+    .A2(_06501_),
+    .B1_N(_06502_),
     .Y(_00456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14392_ (.A(_05605_),
+ sky130_fd_sc_hd__nor2_1 _13396_ (.A(_05368_),
     .B(_00457_),
     .Y(_00085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14393_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
-    .A2(_06865_),
-    .B1_N(_06866_),
+ sky130_fd_sc_hd__a21bo_1 _13397_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
+    .A2(_06502_),
+    .B1_N(_06503_),
     .X(_00458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14394_ (.A(\u_i2cm.core_en ),
-    .X(_03245_),
+ sky130_fd_sc_hd__and2_1 _13398_ (.A(\u_i2cm.core_en ),
+    .B(_00459_),
+    .X(_02978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14395_ (.A(_03245_),
-    .B(_00459_),
+ sky130_fd_sc_hd__clkbuf_1 _13399_ (.A(_02978_),
     .X(_00073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14396_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[11] ),
-    .A2(_06866_),
-    .B1_N(_06867_),
+ sky130_fd_sc_hd__a21bo_1 _13400_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[11] ),
+    .A2(_06503_),
+    .B1_N(_06504_),
     .X(_00460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14397_ (.A(_03245_),
+ sky130_fd_sc_hd__and2_1 _13401_ (.A(\u_i2cm.core_en ),
     .B(_00461_),
+    .X(_02979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13402_ (.A(_02979_),
     .X(_00074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_1 _14398_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
-    .A2(_06867_),
-    .B1_N(_06868_),
+ sky130_fd_sc_hd__a21bo_1 _13403_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
+    .A2(_06504_),
+    .B1_N(_06505_),
     .X(_00462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14399_ (.A(_03245_),
+ sky130_fd_sc_hd__and2_1 _13404_ (.A(\u_i2cm.core_en ),
     .B(_00463_),
+    .X(_02980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13405_ (.A(_02980_),
     .X(_00075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_1 _14400_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
-    .A2(_06868_),
+ sky130_fd_sc_hd__a21o_1 _13406_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
+    .A2(_06505_),
     .B1(_00229_),
     .X(_00464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _14401_ (.A(_03245_),
+ sky130_fd_sc_hd__and2_1 _13407_ (.A(\u_i2cm.core_en ),
     .B(_00465_),
+    .X(_02981_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13408_ (.A(_02981_),
     .X(_00076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _14402_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
-    .B(_03241_),
-    .C(_05523_),
+ sky130_fd_sc_hd__and3_1 _13409_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
+    .B(_05411_),
+    .C(_05294_),
+    .X(_02982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13410_ (.A(_02982_),
     .X(_00055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14403_ (.A(\u_usb_host.u_phy.out_dn_q ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13411_ (.A(\u_usb_host.u_phy.out_dn_q ),
+    .X(_02983_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13412_ (.A(_02983_),
     .X(_00170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14404_ (.A(\u_usb_host.u_phy.out_dp_q ),
+ sky130_fd_sc_hd__clkbuf_1 _13413_ (.A(\u_usb_host.u_phy.out_dp_q ),
+    .X(_02984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13414_ (.A(_02984_),
     .X(_00171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14405_ (.A(\u_usb_host.u_phy.out_dn_q ),
-    .B(_06878_),
+ sky130_fd_sc_hd__or2_1 _13415_ (.A(\u_usb_host.u_phy.out_dn_q ),
+    .B(_06515_),
+    .X(_02985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13416_ (.A(_02985_),
     .X(_00466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14406_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
+ sky130_fd_sc_hd__inv_2 _13417_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
     .Y(_00468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14407_ (.A(_06512_),
-    .X(_03246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14408_ (.A(_03246_),
+ sky130_fd_sc_hd__nor2_1 _13418_ (.A(\u_usb_host.u_core.sof_transfer_q ),
     .B(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
     .Y(_00469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14409_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
+ sky130_fd_sc_hd__inv_2 _13419_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
     .Y(_00472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14410_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
+ sky130_fd_sc_hd__or2_1 _13420_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
     .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
-    .X(_03247_),
+    .X(_02986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14411_ (.A(_03247_),
-    .X(_03248_),
+ sky130_fd_sc_hd__clkbuf_1 _13421_ (.A(_02986_),
+    .X(_02987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _14412_ (.A(_03248_),
-    .X(_03249_),
+ sky130_fd_sc_hd__buf_2 _13422_ (.A(_02987_),
+    .X(_02988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14413_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
-    .X(_03250_),
+ sky130_fd_sc_hd__or4_4 _13423_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .C(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .X(_02989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14414_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
-    .X(_03251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14415_ (.A(_06633_),
-    .X(_03252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14416_ (.A(_03250_),
-    .B(_03251_),
-    .C(_06653_),
-    .D(_03252_),
-    .X(_03253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _14417_ (.A(_03249_),
-    .B(_03253_),
+ sky130_fd_sc_hd__nor2_4 _13424_ (.A(_02988_),
+    .B(_02989_),
     .Y(_00473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14418_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
-    .Y(_03254_),
+ sky130_fd_sc_hd__inv_2 _13425_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
+    .Y(_02990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14419_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
-    .B(_06631_),
-    .X(_03255_),
+ sky130_fd_sc_hd__or2_1 _13426_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
+    .B(_06293_),
+    .X(_02991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14420_ (.A(_03255_),
-    .X(_03256_),
+ sky130_fd_sc_hd__clkbuf_1 _13427_ (.A(_02991_),
+    .X(_02992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14421_ (.A(_06635_),
-    .X(_03257_),
+ sky130_fd_sc_hd__clkbuf_1 _13428_ (.A(_06295_),
+    .X(_02993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14422_ (.A(_06651_),
-    .X(_03258_),
+ sky130_fd_sc_hd__clkbuf_1 _13429_ (.A(_06311_),
+    .X(_02994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14423_ (.A(_06662_),
-    .B(_03257_),
-    .C(_03258_),
-    .D(_03252_),
-    .X(_03259_),
+ sky130_fd_sc_hd__or4_2 _13430_ (.A(_06319_),
+    .B(_02993_),
+    .C(_02994_),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .X(_02995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14424_ (.A(_03256_),
-    .B(_03259_),
-    .X(_03260_),
+ sky130_fd_sc_hd__or2_2 _13431_ (.A(_02992_),
+    .B(_02995_),
+    .X(_02996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14425_ (.A(_03260_),
-    .X(_03261_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13432_ (.A(_02996_),
+    .X(_02997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14426_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
-    .Y(_03262_),
+ sky130_fd_sc_hd__inv_2 _13433_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
+    .Y(_02998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14427_ (.A(_06633_),
-    .X(_03263_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13434_ (.A(_06303_),
+    .X(_02999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14428_ (.A(_06661_),
-    .B(_03257_),
-    .C(_06653_),
-    .D(_03263_),
-    .X(_03264_),
+ sky130_fd_sc_hd__or4_4 _13435_ (.A(_06318_),
+    .B(_02993_),
+    .C(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .X(_03000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14429_ (.A(_06644_),
-    .B(_03264_),
-    .X(_03265_),
+ sky130_fd_sc_hd__or2_2 _13436_ (.A(_02999_),
+    .B(_03000_),
+    .X(_03001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14430_ (.A(_03265_),
-    .X(_03266_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13437_ (.A(_03001_),
+    .X(_03002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14431_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
-    .Y(_03267_),
+ sky130_fd_sc_hd__inv_2 _13438_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
+    .Y(_03003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14432_ (.A(_03247_),
-    .X(_03268_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13439_ (.A(_02986_),
+    .X(_03004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14433_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
-    .Y(_03269_),
+ sky130_fd_sc_hd__inv_2 _13440_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .Y(_03005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _14434_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
-    .B(_03269_),
-    .C(_06661_),
+ sky130_fd_sc_hd__or4_1 _13441_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .B(_03005_),
+    .C(_06318_),
     .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
-    .X(_03270_),
+    .X(_03006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _14435_ (.A(_03270_),
-    .X(_03271_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13442_ (.A(_03006_),
+    .X(_03007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14436_ (.A(_03268_),
-    .B(_03271_),
-    .X(_03272_),
+ sky130_fd_sc_hd__or2_2 _13443_ (.A(_03004_),
+    .B(_03007_),
+    .X(_03008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14437_ (.A(_03272_),
-    .X(_03273_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13444_ (.A(_03008_),
+    .X(_03009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14438_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
-    .Y(_03274_),
+ sky130_fd_sc_hd__inv_2 _13445_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
+    .Y(_03010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14439_ (.A(_06651_),
-    .B(_03263_),
-    .C(_06662_),
-    .D(_06665_),
-    .X(_03275_),
+ sky130_fd_sc_hd__or4_2 _13446_ (.A(_06311_),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .C(_06318_),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .X(_03011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14440_ (.A(_03268_),
-    .B(_03275_),
-    .X(_03276_),
+ sky130_fd_sc_hd__or2_2 _13447_ (.A(_03004_),
+    .B(_03011_),
+    .X(_03012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14441_ (.A(_03276_),
-    .X(_03277_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13448_ (.A(_03012_),
+    .X(_03013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _14442_ (.A1(_03267_),
-    .A2(_03273_),
-    .B1(_03274_),
-    .B2(_03277_),
-    .X(_03278_),
+ sky130_fd_sc_hd__o22a_1 _13449_ (.A1(_03003_),
+    .A2(_03009_),
+    .B1(_03010_),
+    .B2(_03013_),
+    .X(_03014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14443_ (.A1(_03254_),
-    .A2(_03261_),
-    .B1(_03262_),
-    .B2(_03266_),
-    .C1(_03278_),
-    .X(_03279_),
+ sky130_fd_sc_hd__o221a_1 _13450_ (.A1(_02990_),
+    .A2(_02997_),
+    .B1(_02998_),
+    .B2(_03002_),
+    .C1(_03014_),
+    .X(_03015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14444_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
-    .Y(_03280_),
+ sky130_fd_sc_hd__inv_2 _13451_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
+    .Y(_03016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14445_ (.A(_06642_),
-    .X(_03281_),
+ sky130_fd_sc_hd__clkbuf_1 _13452_ (.A(_03005_),
+    .X(_03017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _14446_ (.A(_03281_),
-    .X(_03282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14447_ (.A(_03269_),
-    .X(_03283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14448_ (.A(_06652_),
-    .B(_03283_),
-    .C(_06660_),
-    .D(_06663_),
-    .X(_03284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14449_ (.A(_03282_),
-    .B(_03284_),
-    .X(_03285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14450_ (.A(_03285_),
-    .X(_03286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14451_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
-    .Y(_03287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14452_ (.A(_03249_),
-    .B(_03284_),
-    .X(_03288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14453_ (.A(_03288_),
-    .X(_03289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14454_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
-    .Y(_03290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14455_ (.A(_03255_),
-    .X(_03291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14456_ (.A(_03291_),
-    .B(_03270_),
-    .X(_03292_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14457_ (.A(_03292_),
-    .X(_03293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14458_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
-    .Y(_03294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14459_ (.A(_03281_),
-    .X(_03295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14460_ (.A(_06632_),
-    .B(_03269_),
+ sky130_fd_sc_hd__or4_2 _13453_ (.A(_06312_),
+    .B(_03017_),
     .C(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
-    .D(_03257_),
-    .X(_03296_),
+    .D(_06320_),
+    .X(_03018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14461_ (.A(_03295_),
-    .B(_03296_),
-    .X(_03297_),
+ sky130_fd_sc_hd__or2_1 _13454_ (.A(_02999_),
+    .B(_03018_),
+    .X(_03019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14462_ (.A(_03297_),
-    .X(_03298_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13455_ (.A(_03019_),
+    .X(_03020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14463_ (.A1(_03290_),
-    .A2(_03293_),
-    .B1(_03294_),
-    .B2(_03298_),
-    .X(_03299_),
+ sky130_fd_sc_hd__inv_2 _13456_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
+    .Y(_03021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14464_ (.A1(_03280_),
-    .A2(_03286_),
-    .B1(_03287_),
-    .B2(_03289_),
-    .C1(_03299_),
-    .X(_03300_),
+ sky130_fd_sc_hd__or2_1 _13457_ (.A(_02988_),
+    .B(_03018_),
+    .X(_03022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14465_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
-    .Y(_03301_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13458_ (.A(_03022_),
+    .X(_03023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14466_ (.A(_03250_),
-    .B(_03251_),
-    .C(_03258_),
-    .D(_03252_),
-    .X(_03302_),
+ sky130_fd_sc_hd__inv_2 _13459_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
+    .Y(_03024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14467_ (.A(_03256_),
-    .B(_03302_),
-    .X(_03303_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13460_ (.A(_02991_),
+    .X(_03025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14468_ (.A(_03303_),
-    .X(_03304_),
+ sky130_fd_sc_hd__or2_1 _13461_ (.A(_03025_),
+    .B(_03006_),
+    .X(_03026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14469_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
-    .Y(_03305_),
+ sky130_fd_sc_hd__clkbuf_1 _13462_ (.A(_03026_),
+    .X(_03027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14470_ (.A(_06644_),
-    .B(_03253_),
-    .X(_03306_),
+ sky130_fd_sc_hd__inv_2 _13463_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
+    .Y(_03028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14471_ (.A(_03306_),
-    .X(_03307_),
+ sky130_fd_sc_hd__clkbuf_2 _13464_ (.A(_06303_),
+    .X(_03029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14472_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
-    .Y(_03308_),
+ sky130_fd_sc_hd__or4_2 _13465_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .B(_03005_),
+    .C(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .D(_02993_),
+    .X(_03030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14473_ (.A(_06632_),
-    .B(_03283_),
-    .C(_03250_),
-    .D(_03251_),
-    .X(_03309_),
+ sky130_fd_sc_hd__or2_2 _13466_ (.A(_03029_),
+    .B(_03030_),
+    .X(_03031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14474_ (.A(_03309_),
-    .B(_03291_),
-    .X(_03310_),
+ sky130_fd_sc_hd__clkbuf_1 _13467_ (.A(_03031_),
+    .X(_03032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14475_ (.A(_03310_),
-    .X(_03311_),
+ sky130_fd_sc_hd__o22a_1 _13468_ (.A1(_03024_),
+    .A2(_03027_),
+    .B1(_03028_),
+    .B2(_03032_),
+    .X(_03033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14476_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
-    .Y(_03312_),
+ sky130_fd_sc_hd__o221a_1 _13469_ (.A1(_03016_),
+    .A2(_03020_),
+    .B1(_03021_),
+    .B2(_03023_),
+    .C1(_03033_),
+    .X(_03034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14477_ (.A(_03255_),
-    .X(_03313_),
+ sky130_fd_sc_hd__inv_2 _13470_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
+    .Y(_03035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14478_ (.A(_06652_),
-    .B(_03252_),
-    .C(_06660_),
-    .D(_06663_),
-    .X(_03314_),
+ sky130_fd_sc_hd__or4_2 _13471_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .C(_02994_),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .X(_03036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14479_ (.A(_03313_),
-    .B(_03314_),
-    .X(_03315_),
+ sky130_fd_sc_hd__or2_1 _13472_ (.A(_02992_),
+    .B(_03036_),
+    .X(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14480_ (.A(_03315_),
-    .X(_03316_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13473_ (.A(_03037_),
+    .X(_03038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14481_ (.A1(_03308_),
-    .A2(_03311_),
-    .B1(_03312_),
-    .B2(_03316_),
-    .X(_03317_),
+ sky130_fd_sc_hd__inv_2 _13474_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
+    .Y(_03039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14482_ (.A1(_03301_),
-    .A2(_03304_),
-    .B1(_03305_),
-    .B2(_03307_),
-    .C1(_03317_),
-    .X(_03318_),
+ sky130_fd_sc_hd__or2_1 _13475_ (.A(_02999_),
+    .B(_02989_),
+    .X(_03040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14483_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
-    .Y(_03319_),
+ sky130_fd_sc_hd__clkbuf_1 _13476_ (.A(_03040_),
+    .X(_03041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14484_ (.A(_06632_),
-    .B(_03263_),
-    .C(_03250_),
-    .D(_03257_),
-    .X(_03320_),
+ sky130_fd_sc_hd__inv_2 _13477_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
+    .Y(_03042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14485_ (.A(_03295_),
-    .B(_03320_),
-    .X(_03321_),
+ sky130_fd_sc_hd__or4_2 _13478_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .B(_03017_),
+    .C(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .X(_03043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14486_ (.A(_03321_),
-    .X(_03322_),
+ sky130_fd_sc_hd__clkbuf_1 _13479_ (.A(_02991_),
+    .X(_03044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14487_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
-    .Y(_03323_),
+ sky130_fd_sc_hd__or2_1 _13480_ (.A(_03043_),
+    .B(_03044_),
+    .X(_03045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14488_ (.A(_03258_),
-    .B(_03283_),
-    .C(_06662_),
-    .D(_06665_),
-    .X(_03324_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13481_ (.A(_03045_),
+    .X(_03046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14489_ (.A(_03249_),
-    .B(_03324_),
-    .X(_03325_),
+ sky130_fd_sc_hd__inv_2 _13482_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
+    .Y(_03047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14490_ (.A(_03325_),
-    .X(_03326_),
+ sky130_fd_sc_hd__or4_4 _13483_ (.A(_06312_),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .C(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .D(_06320_),
+    .X(_03048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14491_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
-    .Y(_03327_),
+ sky130_fd_sc_hd__or2_1 _13484_ (.A(_03025_),
+    .B(_03048_),
+    .X(_03049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14492_ (.A(_06640_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13485_ (.A(_03049_),
+    .X(_03050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13486_ (.A1(_03042_),
+    .A2(_03046_),
+    .B1(_03047_),
+    .B2(_03050_),
+    .X(_03051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13487_ (.A1(_03035_),
+    .A2(_03038_),
+    .B1(_03039_),
+    .B2(_03041_),
+    .C1(_03051_),
+    .X(_03052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13488_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
+    .Y(_03053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _13489_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .C(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .D(_02993_),
+    .X(_03054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _13490_ (.A(_06304_),
+    .B(_03054_),
+    .X(_03055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13491_ (.A(_03055_),
+    .X(_03056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13492_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
+    .Y(_03057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _13493_ (.A(_02994_),
+    .B(_03017_),
+    .C(_06319_),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .X(_03058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _13494_ (.A(_02988_),
+    .B(_03058_),
+    .X(_03059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13495_ (.A(_03059_),
+    .X(_03060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13496_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+    .Y(_03061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _13497_ (.A(_06300_),
     .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
-    .X(_03328_),
+    .X(_03062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14493_ (.A(_03328_),
-    .X(_03329_),
+ sky130_fd_sc_hd__clkbuf_1 _13498_ (.A(_03062_),
+    .X(_03063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14494_ (.A(_03329_),
-    .B(_03309_),
-    .X(_03330_),
+ sky130_fd_sc_hd__or2_1 _13499_ (.A(_03063_),
+    .B(_03043_),
+    .X(_03064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14495_ (.A(_03330_),
-    .X(_03331_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13500_ (.A(_03064_),
+    .X(_03065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14496_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
-    .Y(_03332_),
+ sky130_fd_sc_hd__inv_2 _13501_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
+    .Y(_03066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14497_ (.A(_03313_),
-    .B(_03296_),
-    .X(_03333_),
+ sky130_fd_sc_hd__or2_1 _13502_ (.A(_03025_),
+    .B(_03030_),
+    .X(_03067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14498_ (.A(_03333_),
-    .X(_03334_),
+ sky130_fd_sc_hd__clkbuf_1 _13503_ (.A(_03067_),
+    .X(_03068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14499_ (.A1(_03327_),
-    .A2(_03331_),
-    .B1(_03332_),
-    .B2(_03334_),
-    .X(_03335_),
+ sky130_fd_sc_hd__o22a_1 _13504_ (.A1(_03061_),
+    .A2(_03065_),
+    .B1(_03066_),
+    .B2(_03068_),
+    .X(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14500_ (.A1(_03319_),
-    .A2(_03322_),
-    .B1(_03323_),
-    .B2(_03326_),
-    .C1(_03335_),
-    .X(_03336_),
+ sky130_fd_sc_hd__o221a_1 _13505_ (.A1(_03053_),
+    .A2(_03056_),
+    .B1(_03057_),
+    .B2(_03060_),
+    .C1(_03069_),
+    .X(_03070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _14501_ (.A(_03279_),
-    .B(_03300_),
-    .C(_03318_),
-    .D(_03336_),
-    .X(_03337_),
+ sky130_fd_sc_hd__and4_1 _13506_ (.A(_03015_),
+    .B(_03034_),
+    .C(_03052_),
+    .D(_03070_),
+    .X(_03071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14502_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
-    .Y(_03338_),
+ sky130_fd_sc_hd__inv_2 _13507_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
+    .Y(_03072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _14503_ (.A(_06653_),
-    .B(_03263_),
-    .C(_06661_),
-    .D(_03251_),
-    .X(_03339_),
+ sky130_fd_sc_hd__or4_2 _13508_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .C(_06319_),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .X(_03073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14504_ (.A(_03256_),
-    .B(_03339_),
-    .X(_03340_),
+ sky130_fd_sc_hd__or2_1 _13509_ (.A(_02992_),
+    .B(_03073_),
+    .X(_03074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14505_ (.A(_03340_),
-    .X(_03341_),
+ sky130_fd_sc_hd__clkbuf_1 _13510_ (.A(_03074_),
+    .X(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14506_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
-    .Y(_03342_),
+ sky130_fd_sc_hd__inv_2 _13511_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
+    .Y(_03076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14507_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
-    .Y(_03343_),
+ sky130_fd_sc_hd__inv_2 _13512_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
+    .Y(_03077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _14508_ (.A(_03258_),
-    .B(_03283_),
-    .C(_06660_),
-    .D(_06665_),
-    .X(_03344_),
+ sky130_fd_sc_hd__or4_2 _13513_ (.A(_02994_),
+    .B(_03017_),
+    .C(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .D(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .X(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14509_ (.A(_03313_),
-    .B(_03344_),
-    .X(_03345_),
+ sky130_fd_sc_hd__or2_2 _13514_ (.A(_03044_),
+    .B(_03078_),
+    .X(_03079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14510_ (.A(_03345_),
-    .X(_03346_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13515_ (.A(_03079_),
+    .X(_03080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14511_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
-    .Y(_03347_),
+ sky130_fd_sc_hd__inv_2 _13516_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
+    .Y(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14512_ (.A(_03255_),
-    .X(_03348_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13517_ (.A(_02991_),
+    .X(_03082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14513_ (.A(_03348_),
-    .B(_03320_),
-    .X(_03349_),
+ sky130_fd_sc_hd__or2_2 _13518_ (.A(_03082_),
+    .B(_03054_),
+    .X(_03083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14514_ (.A(_03349_),
-    .X(_03350_),
+ sky130_fd_sc_hd__clkbuf_1 _13519_ (.A(_03083_),
+    .X(_03084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14515_ (.A1(_03343_),
-    .A2(_03346_),
-    .B1(_03347_),
-    .B2(_03350_),
-    .X(_03351_),
+ sky130_fd_sc_hd__o22a_1 _13520_ (.A1(_03077_),
+    .A2(_03080_),
+    .B1(_03081_),
+    .B2(_03084_),
+    .X(_03085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14516_ (.A1(_03338_),
-    .A2(_03341_),
-    .B1(_03342_),
-    .B2(_06646_),
-    .C1(_03351_),
-    .X(_03352_),
+ sky130_fd_sc_hd__o221a_1 _13521_ (.A1(_03072_),
+    .A2(_03075_),
+    .B1(_03076_),
+    .B2(_06306_),
+    .C1(_03085_),
+    .X(_03086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14517_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
-    .Y(_03353_),
+ sky130_fd_sc_hd__inv_2 _13522_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
+    .Y(_03087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14518_ (.A(_06638_),
-    .B(_03348_),
-    .X(_03354_),
+ sky130_fd_sc_hd__or2_1 _13523_ (.A(_06298_),
+    .B(_03082_),
+    .X(_03088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14519_ (.A(_03354_),
-    .X(_03355_),
+ sky130_fd_sc_hd__clkbuf_1 _13524_ (.A(_03088_),
+    .X(_03089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14520_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
-    .Y(_03356_),
+ sky130_fd_sc_hd__inv_2 _13525_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
+    .Y(_03090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14521_ (.A(_03282_),
-    .B(_03314_),
-    .X(_03357_),
+ sky130_fd_sc_hd__or2_1 _13526_ (.A(_03029_),
+    .B(_03048_),
+    .X(_03091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14522_ (.A(_03357_),
-    .X(_03358_),
+ sky130_fd_sc_hd__clkbuf_1 _13527_ (.A(_03091_),
+    .X(_03092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14523_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
-    .Y(_03359_),
+ sky130_fd_sc_hd__inv_2 _13528_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
+    .Y(_03093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14524_ (.A(_03275_),
-    .B(_03291_),
-    .X(_03360_),
+ sky130_fd_sc_hd__or2_1 _13529_ (.A(_03011_),
+    .B(_03044_),
+    .X(_03094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14525_ (.A(_03360_),
-    .X(_03361_),
+ sky130_fd_sc_hd__clkbuf_1 _13530_ (.A(_03094_),
+    .X(_03095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14526_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
-    .Y(_03362_),
+ sky130_fd_sc_hd__inv_2 _13531_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
+    .Y(_03096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14527_ (.A(_03328_),
-    .X(_03363_),
+ sky130_fd_sc_hd__clkbuf_2 _13532_ (.A(_03062_),
+    .X(_03097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14528_ (.A(_03363_),
-    .B(_03344_),
-    .X(_03364_),
+ sky130_fd_sc_hd__or2_1 _13533_ (.A(_03097_),
+    .B(_03078_),
+    .X(_03098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14529_ (.A(_03364_),
-    .X(_03365_),
+ sky130_fd_sc_hd__clkbuf_1 _13534_ (.A(_03098_),
+    .X(_03099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14530_ (.A1(_03359_),
-    .A2(_03361_),
-    .B1(_03362_),
-    .B2(_03365_),
-    .X(_03366_),
+ sky130_fd_sc_hd__o22a_1 _13535_ (.A1(_03093_),
+    .A2(_03095_),
+    .B1(_03096_),
+    .B2(_03099_),
+    .X(_03100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14531_ (.A1(_03353_),
-    .A2(_03355_),
-    .B1(_03356_),
-    .B2(_03358_),
-    .C1(_03366_),
-    .X(_03367_),
+ sky130_fd_sc_hd__o221a_1 _13536_ (.A1(_03087_),
+    .A2(_03089_),
+    .B1(_03090_),
+    .B2(_03092_),
+    .C1(_03100_),
+    .X(_03101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14532_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
-    .Y(_03368_),
+ sky130_fd_sc_hd__inv_2 _13537_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
+    .Y(_03102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14533_ (.A(_03348_),
-    .B(_03324_),
-    .X(_03369_),
+ sky130_fd_sc_hd__or2_2 _13538_ (.A(_03082_),
+    .B(_03058_),
+    .X(_03103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14534_ (.A(_03369_),
-    .X(_03370_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13539_ (.A(_03103_),
+    .X(_03104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14535_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
-    .Y(_03371_),
+ sky130_fd_sc_hd__inv_2 _13540_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
+    .Y(_03105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14536_ (.A(_03348_),
-    .B(_03284_),
-    .X(_03372_),
+ sky130_fd_sc_hd__or2_2 _13541_ (.A(_02992_),
+    .B(_03018_),
+    .X(_03106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14537_ (.A(_03372_),
-    .X(_03373_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13542_ (.A(_03106_),
+    .X(_03107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14538_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
-    .Y(_03374_),
+ sky130_fd_sc_hd__inv_2 _13543_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
+    .Y(_03108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14539_ (.A(_03291_),
-    .B(_03264_),
-    .X(_03375_),
+ sky130_fd_sc_hd__or2_2 _13544_ (.A(_03044_),
+    .B(_03000_),
+    .X(_03109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14540_ (.A(_03375_),
-    .X(_03376_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13545_ (.A(_03109_),
+    .X(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14541_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
-    .Y(_03377_),
+ sky130_fd_sc_hd__inv_2 _13546_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
+    .Y(_03111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14542_ (.A(_03253_),
-    .B(_03313_),
-    .X(_03378_),
+ sky130_fd_sc_hd__or2_2 _13547_ (.A(_02989_),
+    .B(_03025_),
+    .X(_03112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14543_ (.A(_03378_),
-    .X(_03379_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13548_ (.A(_03112_),
+    .X(_03113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14544_ (.A1(_03374_),
-    .A2(_03376_),
-    .B1(_03377_),
-    .B2(_03379_),
-    .X(_03380_),
+ sky130_fd_sc_hd__o22a_1 _13549_ (.A1(_03108_),
+    .A2(_03110_),
+    .B1(_03111_),
+    .B2(_03113_),
+    .X(_03114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13550_ (.A1(_03102_),
+    .A2(_03104_),
+    .B1(_03105_),
+    .B2(_03107_),
+    .C1(_03114_),
+    .X(_03115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14545_ (.A1(_03368_),
-    .A2(_03370_),
-    .B1(_03371_),
-    .B2(_03373_),
-    .C1(_03380_),
-    .X(_03381_),
+ sky130_fd_sc_hd__inv_2 _13551_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
+    .Y(_03116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14546_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
-    .Y(_03382_),
+ sky130_fd_sc_hd__clkbuf_1 _13552_ (.A(_02986_),
+    .X(_03117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14547_ (.A(_03247_),
-    .X(_03383_),
+ sky130_fd_sc_hd__or2_2 _13553_ (.A(_03117_),
+    .B(_03054_),
+    .X(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14548_ (.A(_03383_),
-    .B(_03320_),
-    .X(_03384_),
+ sky130_fd_sc_hd__clkbuf_1 _13554_ (.A(_03118_),
+    .X(_03119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14549_ (.A(_03384_),
-    .X(_03385_),
+ sky130_fd_sc_hd__inv_2 _13555_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
+    .Y(_03120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14550_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
-    .Y(_03386_),
+ sky130_fd_sc_hd__or2_2 _13556_ (.A(_06298_),
+    .B(_03117_),
+    .X(_03121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14551_ (.A(_06638_),
-    .B(_03383_),
-    .X(_03387_),
+ sky130_fd_sc_hd__clkbuf_1 _13557_ (.A(_03121_),
+    .X(_03122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14552_ (.A(_03387_),
-    .X(_03388_),
+ sky130_fd_sc_hd__inv_2 _13558_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
+    .Y(_03123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14553_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
-    .Y(_03389_),
+ sky130_fd_sc_hd__or2_2 _13559_ (.A(_02987_),
+    .B(_03073_),
+    .X(_03124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14554_ (.A(_03248_),
-    .B(_03339_),
-    .X(_03390_),
+ sky130_fd_sc_hd__clkbuf_1 _13560_ (.A(_03124_),
+    .X(_03125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14555_ (.A(_03390_),
-    .X(_03391_),
+ sky130_fd_sc_hd__inv_2 _13561_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
+    .Y(_03126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14556_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
-    .Y(_03392_),
+ sky130_fd_sc_hd__or2_2 _13562_ (.A(_03004_),
+    .B(_03000_),
+    .X(_03127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14557_ (.A(_03268_),
-    .B(_03264_),
-    .X(_03393_),
+ sky130_fd_sc_hd__clkbuf_1 _13563_ (.A(_03127_),
+    .X(_03128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14558_ (.A(_03393_),
-    .X(_03394_),
+ sky130_fd_sc_hd__o22a_1 _13564_ (.A1(_03123_),
+    .A2(_03125_),
+    .B1(_03126_),
+    .B2(_03128_),
+    .X(_03129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14559_ (.A1(_03389_),
-    .A2(_03391_),
-    .B1(_03392_),
-    .B2(_03394_),
-    .X(_03395_),
+ sky130_fd_sc_hd__o221a_1 _13565_ (.A1(_03116_),
+    .A2(_03119_),
+    .B1(_03120_),
+    .B2(_03122_),
+    .C1(_03129_),
+    .X(_03130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14560_ (.A1(_03382_),
-    .A2(_03385_),
-    .B1(_03386_),
-    .B2(_03388_),
-    .C1(_03395_),
-    .X(_03396_),
+ sky130_fd_sc_hd__and4_1 _13566_ (.A(_03086_),
+    .B(_03101_),
+    .C(_03115_),
+    .D(_03130_),
+    .X(_03131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14561_ (.A(_03352_),
-    .B(_03367_),
-    .C(_03381_),
-    .D(_03396_),
-    .X(_03397_),
+ sky130_fd_sc_hd__inv_2 _13567_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
+    .Y(_03132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14562_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
-    .Y(_03398_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13568_ (.A(_03062_),
+    .X(_03133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14563_ (.A(_03328_),
-    .X(_03399_),
+ sky130_fd_sc_hd__or2_2 _13569_ (.A(_03133_),
+    .B(_03030_),
+    .X(_03134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14564_ (.A(_03399_),
-    .B(_03296_),
-    .X(_03400_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13570_ (.A(_03134_),
+    .X(_03135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14565_ (.A(_03400_),
-    .X(_03401_),
+ sky130_fd_sc_hd__inv_2 _13571_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
+    .Y(_03136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14566_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
-    .Y(_03402_),
+ sky130_fd_sc_hd__or2_2 _13572_ (.A(_02999_),
+    .B(_03043_),
+    .X(_03137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14567_ (.A(_06644_),
-    .B(_03309_),
-    .X(_03403_),
+ sky130_fd_sc_hd__clkbuf_1 _13573_ (.A(_03137_),
+    .X(_03138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14568_ (.A(_03403_),
-    .X(_03404_),
+ sky130_fd_sc_hd__inv_2 _13574_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
+    .Y(_03139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14569_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
-    .Y(_03405_),
+ sky130_fd_sc_hd__or2_2 _13575_ (.A(_03063_),
+    .B(_03011_),
+    .X(_03140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14570_ (.A(_03329_),
-    .B(_03275_),
-    .X(_03406_),
+ sky130_fd_sc_hd__clkbuf_1 _13576_ (.A(_03140_),
+    .X(_03141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14571_ (.A(_03406_),
-    .X(_03407_),
+ sky130_fd_sc_hd__inv_2 _13577_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
+    .Y(_03142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14572_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
-    .Y(_03408_),
+ sky130_fd_sc_hd__clkbuf_1 _13578_ (.A(_06302_),
+    .X(_03143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14573_ (.A(_03295_),
-    .B(_03344_),
-    .X(_03409_),
+ sky130_fd_sc_hd__or2_2 _13579_ (.A(_03143_),
+    .B(_03078_),
+    .X(_03144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14574_ (.A(_03409_),
-    .X(_03410_),
+ sky130_fd_sc_hd__clkbuf_1 _13580_ (.A(_03144_),
+    .X(_03145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14575_ (.A1(_03405_),
-    .A2(_03407_),
-    .B1(_03408_),
-    .B2(_03410_),
-    .X(_03411_),
+ sky130_fd_sc_hd__o22a_1 _13581_ (.A1(_03139_),
+    .A2(_03141_),
+    .B1(_03142_),
+    .B2(_03145_),
+    .X(_03146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14576_ (.A1(_03398_),
-    .A2(_03401_),
-    .B1(_03402_),
-    .B2(_03404_),
-    .C1(_03411_),
-    .X(_03412_),
+ sky130_fd_sc_hd__o221a_1 _13582_ (.A1(_03132_),
+    .A2(_03135_),
+    .B1(_03136_),
+    .B2(_03138_),
+    .C1(_03146_),
+    .X(_03147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14577_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
-    .Y(_03413_),
+ sky130_fd_sc_hd__inv_2 _13583_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
+    .Y(_03148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14578_ (.A(_03328_),
-    .X(_03414_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13584_ (.A(_03062_),
+    .X(_03149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14579_ (.A(_03414_),
-    .B(_03264_),
-    .X(_03415_),
+ sky130_fd_sc_hd__or2_1 _13585_ (.A(_03149_),
+    .B(_03000_),
+    .X(_03150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14580_ (.A(_03415_),
-    .X(_03416_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13586_ (.A(_03150_),
+    .X(_03151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14581_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
-    .Y(_03417_),
+ sky130_fd_sc_hd__inv_2 _13587_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
+    .Y(_03152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14582_ (.A(_06657_),
-    .Y(_03418_),
+ sky130_fd_sc_hd__inv_2 _13588_ (.A(_06315_),
+    .Y(_03153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14583_ (.A(_03418_),
-    .B(_03256_),
-    .X(_03419_),
+ sky130_fd_sc_hd__or2_2 _13589_ (.A(_03153_),
+    .B(_03082_),
+    .X(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14584_ (.A(_03419_),
-    .X(_03420_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13590_ (.A(_03154_),
+    .X(_03155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14585_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
-    .Y(_03421_),
+ sky130_fd_sc_hd__inv_2 _13591_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
+    .Y(_03156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14586_ (.A(_03281_),
-    .B(_03275_),
-    .X(_03422_),
+ sky130_fd_sc_hd__or2_2 _13592_ (.A(_06303_),
+    .B(_03011_),
+    .X(_03157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14587_ (.A(_03422_),
-    .X(_03423_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13593_ (.A(_03157_),
+    .X(_03158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14588_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
-    .Y(_03424_),
+ sky130_fd_sc_hd__inv_2 _13594_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
+    .Y(_03159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14589_ (.A(_03268_),
-    .B(_03344_),
-    .X(_03425_),
+ sky130_fd_sc_hd__or2_2 _13595_ (.A(_03004_),
+    .B(_03078_),
+    .X(_03160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14590_ (.A(_03425_),
-    .X(_03426_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13596_ (.A(_03160_),
+    .X(_03161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14591_ (.A1(_03421_),
-    .A2(_03423_),
-    .B1(_03424_),
-    .B2(_03426_),
-    .X(_03427_),
+ sky130_fd_sc_hd__o22a_1 _13597_ (.A1(_03156_),
+    .A2(_03158_),
+    .B1(_03159_),
+    .B2(_03161_),
+    .X(_03162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14592_ (.A1(_03413_),
-    .A2(_03416_),
-    .B1(_03417_),
-    .B2(_03420_),
-    .C1(_03427_),
-    .X(_03428_),
+ sky130_fd_sc_hd__o221a_1 _13598_ (.A1(_03148_),
+    .A2(_03151_),
+    .B1(_03152_),
+    .B2(_03155_),
+    .C1(_03162_),
+    .X(_03163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14593_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
-    .Y(_03429_),
+ sky130_fd_sc_hd__inv_2 _13599_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
+    .Y(_03164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14594_ (.A(_03253_),
-    .B(_03363_),
-    .X(_03430_),
+ sky130_fd_sc_hd__or2_1 _13600_ (.A(_02989_),
+    .B(_03097_),
+    .X(_03165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14595_ (.A(_03430_),
-    .X(_03431_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13601_ (.A(_03165_),
+    .X(_03166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14596_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
-    .Y(_03432_),
+ sky130_fd_sc_hd__inv_2 _13602_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
+    .Y(_03167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14597_ (.A(_03399_),
-    .B(_03284_),
-    .X(_03433_),
+ sky130_fd_sc_hd__or2_1 _13603_ (.A(_03149_),
+    .B(_03018_),
+    .X(_03168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14598_ (.A(_03433_),
-    .X(_03434_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13604_ (.A(_03168_),
+    .X(_03169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14599_ (.A(_03282_),
-    .X(_03435_),
+ sky130_fd_sc_hd__clkbuf_1 _13605_ (.A(_06304_),
+    .X(_03170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14600_ (.A(_03271_),
-    .X(_03436_),
+ sky130_fd_sc_hd__clkbuf_1 _13606_ (.A(_03007_),
+    .X(_03171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _14601_ (.A(_03435_),
-    .B(_03436_),
+ sky130_fd_sc_hd__or3b_1 _13607_ (.A(_03170_),
+    .B(_03171_),
     .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
-    .X(_03437_),
+    .X(_03172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14602_ (.A1(_03429_),
-    .A2(_03431_),
-    .B1(_03432_),
-    .B2(_03434_),
-    .C1(_03437_),
-    .X(_03438_),
+ sky130_fd_sc_hd__o221a_1 _13608_ (.A1(_03164_),
+    .A2(_03166_),
+    .B1(_03167_),
+    .B2(_03169_),
+    .C1(_03172_),
+    .X(_03173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14603_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
-    .Y(_03439_),
+ sky130_fd_sc_hd__inv_2 _13609_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
+    .Y(_03174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14604_ (.A(_03414_),
-    .B(_03271_),
-    .X(_03440_),
+ sky130_fd_sc_hd__or2_1 _13610_ (.A(_03097_),
+    .B(_03007_),
+    .X(_03175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14605_ (.A(_03440_),
-    .X(_03441_),
+ sky130_fd_sc_hd__clkbuf_1 _13611_ (.A(_03175_),
+    .X(_03176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14606_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
-    .Y(_03442_),
+ sky130_fd_sc_hd__inv_2 _13612_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
+    .Y(_03177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14607_ (.A(_03399_),
-    .B(_03259_),
-    .X(_03443_),
+ sky130_fd_sc_hd__or2_1 _13613_ (.A(_03133_),
+    .B(_02995_),
+    .X(_03178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14608_ (.A(_03443_),
-    .X(_03444_),
+ sky130_fd_sc_hd__clkbuf_1 _13614_ (.A(_03178_),
+    .X(_03179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14609_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
-    .Y(_03445_),
+ sky130_fd_sc_hd__inv_2 _13615_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
+    .Y(_03180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14610_ (.A(_03329_),
-    .B(_03320_),
-    .X(_03446_),
+ sky130_fd_sc_hd__or2_1 _13616_ (.A(_03063_),
+    .B(_03054_),
+    .X(_03181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14611_ (.A(_03446_),
-    .X(_03447_),
+ sky130_fd_sc_hd__clkbuf_1 _13617_ (.A(_03181_),
+    .X(_03182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14612_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
-    .Y(_03448_),
+ sky130_fd_sc_hd__inv_2 _13618_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
+    .Y(_03183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14613_ (.A(_03329_),
-    .B(_03324_),
-    .X(_03449_),
+ sky130_fd_sc_hd__or2_1 _13619_ (.A(_03063_),
+    .B(_03058_),
+    .X(_03184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14614_ (.A(_03449_),
-    .X(_03450_),
+ sky130_fd_sc_hd__clkbuf_1 _13620_ (.A(_03184_),
+    .X(_03185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14615_ (.A1(_03445_),
-    .A2(_03447_),
-    .B1(_03448_),
-    .B2(_03450_),
-    .X(_03451_),
+ sky130_fd_sc_hd__o22a_1 _13621_ (.A1(_03180_),
+    .A2(_03182_),
+    .B1(_03183_),
+    .B2(_03185_),
+    .X(_03186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14616_ (.A1(_03439_),
-    .A2(_03441_),
-    .B1(_03442_),
-    .B2(_03444_),
-    .C1(_03451_),
-    .X(_03452_),
+ sky130_fd_sc_hd__o221a_1 _13622_ (.A1(_03174_),
+    .A2(_03176_),
+    .B1(_03177_),
+    .B2(_03179_),
+    .C1(_03186_),
+    .X(_03187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _14617_ (.A(_03412_),
-    .B(_03428_),
-    .C(_03438_),
-    .D(_03452_),
-    .X(_03453_),
+ sky130_fd_sc_hd__and4_1 _13623_ (.A(_03147_),
+    .B(_03163_),
+    .C(_03173_),
+    .D(_03187_),
+    .X(_03188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14618_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
-    .Y(_03454_),
+ sky130_fd_sc_hd__inv_2 _13624_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
+    .Y(_03189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14619_ (.A(_06639_),
-    .B(_03399_),
-    .X(_03455_),
+ sky130_fd_sc_hd__or2_1 _13625_ (.A(_06299_),
+    .B(_03133_),
+    .X(_03190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14620_ (.A(_03455_),
-    .X(_03456_),
+ sky130_fd_sc_hd__clkbuf_1 _13626_ (.A(_03190_),
+    .X(_03191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14621_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
-    .Y(_03457_),
+ sky130_fd_sc_hd__inv_2 _13627_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
+    .Y(_03192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14622_ (.A(_03418_),
-    .B(_03249_),
-    .X(_03458_),
+ sky130_fd_sc_hd__or2_1 _13628_ (.A(_03153_),
+    .B(_02988_),
+    .X(_03193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14623_ (.A(_03458_),
-    .X(_03459_),
+ sky130_fd_sc_hd__clkbuf_1 _13629_ (.A(_03193_),
+    .X(_03194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14624_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
-    .Y(_03460_),
+ sky130_fd_sc_hd__inv_2 _13630_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
+    .Y(_03195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14625_ (.A(_06643_),
-    .B(_03302_),
-    .X(_03461_),
+ sky130_fd_sc_hd__or2_1 _13631_ (.A(_03143_),
+    .B(_03036_),
+    .X(_03196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14626_ (.A(_03461_),
-    .X(_03462_),
+ sky130_fd_sc_hd__clkbuf_1 _13632_ (.A(_03196_),
+    .X(_03197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14627_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
-    .Y(_03463_),
+ sky130_fd_sc_hd__inv_2 _13633_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
+    .Y(_03198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14628_ (.A(_06643_),
-    .B(_03324_),
-    .X(_03464_),
+ sky130_fd_sc_hd__or2_1 _13634_ (.A(_03029_),
+    .B(_03058_),
+    .X(_03199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14629_ (.A(_03464_),
-    .X(_03465_),
+ sky130_fd_sc_hd__clkbuf_1 _13635_ (.A(_03199_),
+    .X(_03200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14630_ (.A1(_03460_),
-    .A2(_03462_),
-    .B1(_03463_),
-    .B2(_03465_),
-    .X(_03466_),
+ sky130_fd_sc_hd__o22a_1 _13636_ (.A1(_03195_),
+    .A2(_03197_),
+    .B1(_03198_),
+    .B2(_03200_),
+    .X(_03201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14631_ (.A1(_03454_),
-    .A2(_03456_),
-    .B1(_03457_),
-    .B2(_03459_),
-    .C1(_03466_),
-    .X(_03467_),
+ sky130_fd_sc_hd__o221a_1 _13637_ (.A1(_03189_),
+    .A2(_03191_),
+    .B1(_03192_),
+    .B2(_03194_),
+    .C1(_03201_),
+    .X(_03202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14632_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
-    .Y(_03468_),
+ sky130_fd_sc_hd__inv_2 _13638_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
+    .Y(_03203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14633_ (.A(_03414_),
-    .B(_03302_),
-    .X(_03469_),
+ sky130_fd_sc_hd__or2_2 _13639_ (.A(_03149_),
+    .B(_03036_),
+    .X(_03204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14634_ (.A(_03469_),
-    .X(_03470_),
+ sky130_fd_sc_hd__clkbuf_1 _13640_ (.A(_03204_),
+    .X(_03205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14635_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
-    .Y(_03471_),
+ sky130_fd_sc_hd__inv_2 _13641_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
+    .Y(_03206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14636_ (.A(_03295_),
-    .B(_03418_),
-    .X(_03472_),
+ sky130_fd_sc_hd__or2_2 _13642_ (.A(_03029_),
+    .B(_03153_),
+    .X(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14637_ (.A(_03472_),
-    .X(_03473_),
+ sky130_fd_sc_hd__clkbuf_1 _13643_ (.A(_03207_),
+    .X(_03208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14638_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
-    .Y(_03474_),
+ sky130_fd_sc_hd__inv_2 _13644_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
+    .Y(_03209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14639_ (.A(_03281_),
-    .B(_03339_),
-    .X(_03475_),
+ sky130_fd_sc_hd__or2_2 _13645_ (.A(_03143_),
+    .B(_03073_),
+    .X(_03210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13646_ (.A(_03210_),
+    .X(_03211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14640_ (.A(_03475_),
-    .X(_03476_),
+ sky130_fd_sc_hd__inv_2 _13647_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
+    .Y(_03212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14641_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
-    .Y(_03477_),
+ sky130_fd_sc_hd__or2_2 _13648_ (.A(_03097_),
+    .B(_03073_),
+    .X(_03213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14642_ (.A(_03363_),
-    .B(_03339_),
-    .X(_03478_),
+ sky130_fd_sc_hd__clkbuf_1 _13649_ (.A(_03213_),
+    .X(_03214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14643_ (.A(_03478_),
-    .X(_03479_),
+ sky130_fd_sc_hd__o22a_1 _13650_ (.A1(_03209_),
+    .A2(_03211_),
+    .B1(_03212_),
+    .B2(_03214_),
+    .X(_03215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14644_ (.A1(_03474_),
-    .A2(_03476_),
-    .B1(_03477_),
-    .B2(_03479_),
-    .X(_03480_),
+ sky130_fd_sc_hd__o221a_1 _13651_ (.A1(_03203_),
+    .A2(_03205_),
+    .B1(_03206_),
+    .B2(_03208_),
+    .C1(_03215_),
+    .X(_03216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14645_ (.A1(_03468_),
-    .A2(_03470_),
-    .B1(_03471_),
-    .B2(_03473_),
-    .C1(_03480_),
-    .X(_03481_),
+ sky130_fd_sc_hd__inv_2 _13652_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
+    .Y(_03217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14646_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
-    .Y(_03482_),
+ sky130_fd_sc_hd__or2_1 _13653_ (.A(_03117_),
+    .B(_02995_),
+    .X(_03218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14647_ (.A(_03383_),
-    .B(_03259_),
-    .X(_03483_),
+ sky130_fd_sc_hd__clkbuf_1 _13654_ (.A(_03218_),
+    .X(_03219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14648_ (.A(_03483_),
-    .X(_03484_),
+ sky130_fd_sc_hd__inv_2 _13655_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
+    .Y(_03220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14649_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
-    .Y(_03485_),
+ sky130_fd_sc_hd__or2_1 _13656_ (.A(_03117_),
+    .B(_03048_),
+    .X(_03221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14650_ (.A(_03383_),
-    .B(_03314_),
-    .X(_03486_),
+ sky130_fd_sc_hd__clkbuf_1 _13657_ (.A(_03221_),
+    .X(_03222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14651_ (.A(_03486_),
-    .X(_03487_),
+ sky130_fd_sc_hd__inv_2 _13658_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
+    .Y(_03223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14652_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
-    .Y(_03488_),
+ sky130_fd_sc_hd__or2_2 _13659_ (.A(_02986_),
+    .B(_03030_),
+    .X(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14653_ (.A(_03247_),
-    .B(_03296_),
-    .X(_03489_),
+ sky130_fd_sc_hd__clkbuf_1 _13660_ (.A(_03224_),
+    .X(_03225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14654_ (.A(_03489_),
-    .X(_03490_),
+ sky130_fd_sc_hd__inv_2 _13661_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
+    .Y(_03226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14655_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
-    .Y(_03491_),
+ sky130_fd_sc_hd__or2_2 _13662_ (.A(_03143_),
+    .B(_02995_),
+    .X(_03227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14656_ (.A(_06643_),
-    .B(_03259_),
-    .X(_03492_),
+ sky130_fd_sc_hd__clkbuf_1 _13663_ (.A(_03227_),
+    .X(_03228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14657_ (.A(_03492_),
-    .X(_03493_),
+ sky130_fd_sc_hd__o22a_1 _13664_ (.A1(_03223_),
+    .A2(_03225_),
+    .B1(_03226_),
+    .B2(_03228_),
+    .X(_03229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14658_ (.A1(_03488_),
-    .A2(_03490_),
-    .B1(_03491_),
-    .B2(_03493_),
-    .X(_03494_),
+ sky130_fd_sc_hd__o221a_1 _13665_ (.A1(_03217_),
+    .A2(_03219_),
+    .B1(_03220_),
+    .B2(_03222_),
+    .C1(_03229_),
+    .X(_03230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14659_ (.A1(_03482_),
-    .A2(_03484_),
-    .B1(_03485_),
-    .B2(_03487_),
-    .C1(_03494_),
-    .X(_03495_),
+ sky130_fd_sc_hd__inv_2 _13666_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
+    .Y(_03231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14660_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
-    .Y(_03496_),
+ sky130_fd_sc_hd__or2_1 _13667_ (.A(_03149_),
+    .B(_03048_),
+    .X(_03232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14661_ (.A(_03363_),
-    .B(_03314_),
-    .X(_03497_),
+ sky130_fd_sc_hd__clkbuf_1 _13668_ (.A(_03232_),
+    .X(_03233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14662_ (.A(_03497_),
-    .X(_03498_),
+ sky130_fd_sc_hd__inv_2 _13669_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
+    .Y(_03234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14663_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
-    .Y(_03499_),
+ sky130_fd_sc_hd__or2_2 _13670_ (.A(_03153_),
+    .B(_03133_),
+    .X(_03235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14664_ (.A(_03418_),
-    .B(_03414_),
-    .X(_03500_),
+ sky130_fd_sc_hd__clkbuf_1 _13671_ (.A(_03235_),
+    .X(_03236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14665_ (.A(_03500_),
-    .X(_03501_),
+ sky130_fd_sc_hd__inv_2 _13672_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
+    .Y(_03237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14666_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
-    .Y(_03502_),
+ sky130_fd_sc_hd__or2_2 _13673_ (.A(_02987_),
+    .B(_03043_),
+    .X(_03238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14667_ (.A(_03248_),
-    .B(_03309_),
-    .X(_03503_),
+ sky130_fd_sc_hd__clkbuf_1 _13674_ (.A(_03238_),
+    .X(_03239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14668_ (.A(_03503_),
-    .X(_03504_),
+ sky130_fd_sc_hd__inv_2 _13675_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
+    .Y(_03240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14669_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
-    .Y(_03505_),
+ sky130_fd_sc_hd__or2_2 _13676_ (.A(_02987_),
+    .B(_03036_),
+    .X(_03241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _14670_ (.A(_03248_),
-    .B(_03302_),
-    .X(_03506_),
+ sky130_fd_sc_hd__clkbuf_1 _13677_ (.A(_03241_),
+    .X(_03242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14671_ (.A(_03506_),
-    .X(_03507_),
+ sky130_fd_sc_hd__o22a_1 _13678_ (.A1(_03237_),
+    .A2(_03239_),
+    .B1(_03240_),
+    .B2(_03242_),
+    .X(_03243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14672_ (.A1(_03502_),
-    .A2(_03504_),
-    .B1(_03505_),
-    .B2(_03507_),
-    .X(_03508_),
+ sky130_fd_sc_hd__o221a_1 _13679_ (.A1(_03231_),
+    .A2(_03233_),
+    .B1(_03234_),
+    .B2(_03236_),
+    .C1(_03243_),
+    .X(_03244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14673_ (.A1(_03496_),
-    .A2(_03498_),
-    .B1(_03499_),
-    .B2(_03501_),
-    .C1(_03508_),
-    .X(_03509_),
+ sky130_fd_sc_hd__and4_1 _13680_ (.A(_03202_),
+    .B(_03216_),
+    .C(_03230_),
+    .D(_03244_),
+    .X(_03245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14674_ (.A(_03467_),
-    .B(_03481_),
-    .C(_03495_),
-    .D(_03509_),
-    .X(_03510_),
+ sky130_fd_sc_hd__and4_1 _13681_ (.A(_03071_),
+    .B(_03131_),
+    .C(_03188_),
+    .D(_03245_),
+    .X(_03246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14675_ (.A(_03337_),
-    .B(_03397_),
-    .C(_03453_),
-    .D(_03510_),
+ sky130_fd_sc_hd__clkbuf_1 _13682_ (.A(_03246_),
     .X(_00474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14676_ (.A(_06518_),
-    .X(_03511_),
+ sky130_fd_sc_hd__clkbuf_1 _13683_ (.A(_07963_),
+    .X(_03247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _14677_ (.A1(_00475_),
-    .A2(_03511_),
-    .B1(_03034_),
+ sky130_fd_sc_hd__o21a_1 _13684_ (.A1(_00475_),
+    .A2(_03247_),
+    .B1(_07957_),
     .X(_00476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14678_ (.A(_03246_),
-    .B(_06065_),
+ sky130_fd_sc_hd__nor2_1 _13685_ (.A(\u_usb_host.u_core.sof_transfer_q ),
+    .B(_05764_),
     .Y(_00486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14679_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
+ sky130_fd_sc_hd__inv_2 _13686_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
     .Y(_00487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14680_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
-    .Y(_03512_),
+ sky130_fd_sc_hd__inv_2 _13687_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
+    .Y(_03248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14681_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
-    .Y(_03513_),
+ sky130_fd_sc_hd__inv_2 _13688_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
+    .Y(_03249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14682_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
-    .Y(_03514_),
+ sky130_fd_sc_hd__inv_2 _13689_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
+    .Y(_03250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14683_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
-    .Y(_03515_),
+ sky130_fd_sc_hd__inv_2 _13690_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
+    .Y(_03251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _14684_ (.A1(_03514_),
-    .A2(_03273_),
-    .B1(_03515_),
-    .B2(_03277_),
-    .X(_03516_),
+ sky130_fd_sc_hd__o22a_1 _13691_ (.A1(_03250_),
+    .A2(_03009_),
+    .B1(_03251_),
+    .B2(_03013_),
+    .X(_03252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14685_ (.A1(_03512_),
-    .A2(_03261_),
-    .B1(_03513_),
-    .B2(_03266_),
-    .C1(_03516_),
-    .X(_03517_),
+ sky130_fd_sc_hd__o221a_1 _13692_ (.A1(_03248_),
+    .A2(_02997_),
+    .B1(_03249_),
+    .B2(_03002_),
+    .C1(_03252_),
+    .X(_03253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14686_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
-    .Y(_03518_),
+ sky130_fd_sc_hd__inv_2 _13693_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
+    .Y(_03254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14687_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
-    .Y(_03519_),
+ sky130_fd_sc_hd__inv_2 _13694_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
+    .Y(_03255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14688_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
-    .Y(_03520_),
+ sky130_fd_sc_hd__inv_2 _13695_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
+    .Y(_03256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14689_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
-    .Y(_03521_),
+ sky130_fd_sc_hd__inv_2 _13696_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
+    .Y(_03257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14690_ (.A1(_03520_),
-    .A2(_03293_),
-    .B1(_03521_),
-    .B2(_03298_),
-    .X(_03522_),
+ sky130_fd_sc_hd__o22a_1 _13697_ (.A1(_03256_),
+    .A2(_03027_),
+    .B1(_03257_),
+    .B2(_03032_),
+    .X(_03258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14691_ (.A1(_03518_),
-    .A2(_03286_),
-    .B1(_03519_),
-    .B2(_03289_),
-    .C1(_03522_),
-    .X(_03523_),
+ sky130_fd_sc_hd__o221a_1 _13698_ (.A1(_03254_),
+    .A2(_03020_),
+    .B1(_03255_),
+    .B2(_03023_),
+    .C1(_03258_),
+    .X(_03259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14692_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
-    .Y(_03524_),
+ sky130_fd_sc_hd__inv_2 _13699_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
+    .Y(_03260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14693_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
-    .Y(_03525_),
+ sky130_fd_sc_hd__inv_2 _13700_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
+    .Y(_03261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14694_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
-    .Y(_03526_),
+ sky130_fd_sc_hd__inv_2 _13701_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
+    .Y(_03262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14695_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
-    .Y(_03527_),
+ sky130_fd_sc_hd__inv_2 _13702_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
+    .Y(_03263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14696_ (.A1(_03526_),
-    .A2(_03311_),
-    .B1(_03527_),
-    .B2(_03316_),
-    .X(_03528_),
+ sky130_fd_sc_hd__o22a_1 _13703_ (.A1(_03262_),
+    .A2(_03046_),
+    .B1(_03263_),
+    .B2(_03050_),
+    .X(_03264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14697_ (.A1(_03524_),
-    .A2(_03304_),
-    .B1(_03525_),
-    .B2(_03307_),
-    .C1(_03528_),
-    .X(_03529_),
+ sky130_fd_sc_hd__o221a_1 _13704_ (.A1(_03260_),
+    .A2(_03038_),
+    .B1(_03261_),
+    .B2(_03041_),
+    .C1(_03264_),
+    .X(_03265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14698_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
-    .Y(_03530_),
+ sky130_fd_sc_hd__inv_2 _13705_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
+    .Y(_03266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14699_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
-    .Y(_03531_),
+ sky130_fd_sc_hd__inv_2 _13706_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
+    .Y(_03267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14700_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
-    .Y(_03532_),
+ sky130_fd_sc_hd__inv_2 _13707_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+    .Y(_03268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14701_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
-    .Y(_03533_),
+ sky130_fd_sc_hd__inv_2 _13708_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
+    .Y(_03269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14702_ (.A1(_03532_),
-    .A2(_03331_),
-    .B1(_03533_),
-    .B2(_03334_),
-    .X(_03534_),
+ sky130_fd_sc_hd__o22a_1 _13709_ (.A1(_03268_),
+    .A2(_03065_),
+    .B1(_03269_),
+    .B2(_03068_),
+    .X(_03270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14703_ (.A1(_03530_),
-    .A2(_03322_),
-    .B1(_03531_),
-    .B2(_03326_),
-    .C1(_03534_),
-    .X(_03535_),
+ sky130_fd_sc_hd__o221a_1 _13710_ (.A1(_03266_),
+    .A2(_03056_),
+    .B1(_03267_),
+    .B2(_03060_),
+    .C1(_03270_),
+    .X(_03271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _14704_ (.A(_03517_),
-    .B(_03523_),
-    .C(_03529_),
-    .D(_03535_),
-    .X(_03536_),
+ sky130_fd_sc_hd__and4_1 _13711_ (.A(_03253_),
+    .B(_03259_),
+    .C(_03265_),
+    .D(_03271_),
+    .X(_03272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14705_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
-    .Y(_03537_),
+ sky130_fd_sc_hd__inv_2 _13712_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
+    .Y(_03273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14706_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
-    .Y(_03538_),
+ sky130_fd_sc_hd__inv_2 _13713_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
+    .Y(_03274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14707_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
-    .Y(_03539_),
+ sky130_fd_sc_hd__inv_2 _13714_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
+    .Y(_03275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14708_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
-    .Y(_03540_),
+ sky130_fd_sc_hd__inv_2 _13715_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
+    .Y(_03276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14709_ (.A1(_03539_),
-    .A2(_03346_),
-    .B1(_03540_),
-    .B2(_03350_),
-    .X(_03541_),
+ sky130_fd_sc_hd__o22a_1 _13716_ (.A1(_03275_),
+    .A2(_03080_),
+    .B1(_03276_),
+    .B2(_03084_),
+    .X(_03277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14710_ (.A1(_03537_),
-    .A2(_03341_),
-    .B1(_03538_),
-    .B2(_06646_),
-    .C1(_03541_),
-    .X(_03542_),
+ sky130_fd_sc_hd__o221a_1 _13717_ (.A1(_03273_),
+    .A2(_03075_),
+    .B1(_03274_),
+    .B2(_06306_),
+    .C1(_03277_),
+    .X(_03278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14711_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
-    .Y(_03543_),
+ sky130_fd_sc_hd__inv_2 _13718_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
+    .Y(_03279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14712_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
-    .Y(_03544_),
+ sky130_fd_sc_hd__inv_2 _13719_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
+    .Y(_03280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14713_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
-    .Y(_03545_),
+ sky130_fd_sc_hd__inv_2 _13720_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
+    .Y(_03281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14714_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
-    .Y(_03546_),
+ sky130_fd_sc_hd__inv_2 _13721_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
+    .Y(_03282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14715_ (.A1(_03545_),
-    .A2(_03361_),
-    .B1(_03546_),
-    .B2(_03365_),
-    .X(_03547_),
+ sky130_fd_sc_hd__o22a_1 _13722_ (.A1(_03281_),
+    .A2(_03095_),
+    .B1(_03282_),
+    .B2(_03099_),
+    .X(_03283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14716_ (.A1(_03543_),
-    .A2(_03355_),
-    .B1(_03544_),
-    .B2(_03358_),
-    .C1(_03547_),
-    .X(_03548_),
+ sky130_fd_sc_hd__o221a_1 _13723_ (.A1(_03279_),
+    .A2(_03089_),
+    .B1(_03280_),
+    .B2(_03092_),
+    .C1(_03283_),
+    .X(_03284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14717_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
-    .Y(_03549_),
+ sky130_fd_sc_hd__inv_2 _13724_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
+    .Y(_03285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14718_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
-    .Y(_03550_),
+ sky130_fd_sc_hd__inv_2 _13725_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
+    .Y(_03286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14719_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
-    .Y(_03551_),
+ sky130_fd_sc_hd__inv_2 _13726_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
+    .Y(_03287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14720_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
-    .Y(_03552_),
+ sky130_fd_sc_hd__inv_2 _13727_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
+    .Y(_03288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14721_ (.A1(_03551_),
-    .A2(_03376_),
-    .B1(_03552_),
-    .B2(_03379_),
-    .X(_03553_),
+ sky130_fd_sc_hd__o22a_1 _13728_ (.A1(_03287_),
+    .A2(_03110_),
+    .B1(_03288_),
+    .B2(_03113_),
+    .X(_03289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14722_ (.A1(_03549_),
-    .A2(_03370_),
-    .B1(_03550_),
-    .B2(_03373_),
-    .C1(_03553_),
-    .X(_03554_),
+ sky130_fd_sc_hd__o221a_1 _13729_ (.A1(_03285_),
+    .A2(_03104_),
+    .B1(_03286_),
+    .B2(_03107_),
+    .C1(_03289_),
+    .X(_03290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14723_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
-    .Y(_03555_),
+ sky130_fd_sc_hd__inv_2 _13730_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
+    .Y(_03291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14724_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
-    .Y(_03556_),
+ sky130_fd_sc_hd__inv_2 _13731_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
+    .Y(_03292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14725_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
-    .Y(_03557_),
+ sky130_fd_sc_hd__inv_2 _13732_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
+    .Y(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14726_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
-    .Y(_03558_),
+ sky130_fd_sc_hd__inv_2 _13733_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
+    .Y(_03294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14727_ (.A1(_03557_),
-    .A2(_03391_),
-    .B1(_03558_),
-    .B2(_03394_),
-    .X(_03559_),
+ sky130_fd_sc_hd__o22a_1 _13734_ (.A1(_03293_),
+    .A2(_03125_),
+    .B1(_03294_),
+    .B2(_03128_),
+    .X(_03295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14728_ (.A1(_03555_),
-    .A2(_03385_),
-    .B1(_03556_),
-    .B2(_03388_),
-    .C1(_03559_),
-    .X(_03560_),
+ sky130_fd_sc_hd__o221a_1 _13735_ (.A1(_03291_),
+    .A2(_03119_),
+    .B1(_03292_),
+    .B2(_03122_),
+    .C1(_03295_),
+    .X(_03296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14729_ (.A(_03542_),
-    .B(_03548_),
-    .C(_03554_),
-    .D(_03560_),
-    .X(_03561_),
+ sky130_fd_sc_hd__and4_1 _13736_ (.A(_03278_),
+    .B(_03284_),
+    .C(_03290_),
+    .D(_03296_),
+    .X(_03297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14730_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
-    .Y(_03562_),
+ sky130_fd_sc_hd__inv_2 _13737_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
+    .Y(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14731_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
-    .Y(_03563_),
+ sky130_fd_sc_hd__inv_2 _13738_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
+    .Y(_03299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14732_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
-    .Y(_03564_),
+ sky130_fd_sc_hd__inv_2 _13739_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
+    .Y(_03300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14733_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
-    .Y(_03565_),
+ sky130_fd_sc_hd__inv_2 _13740_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
+    .Y(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14734_ (.A1(_03564_),
-    .A2(_03407_),
-    .B1(_03565_),
-    .B2(_03410_),
-    .X(_03566_),
+ sky130_fd_sc_hd__o22a_1 _13741_ (.A1(_03300_),
+    .A2(_03141_),
+    .B1(_03301_),
+    .B2(_03145_),
+    .X(_03302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14735_ (.A1(_03562_),
-    .A2(_03401_),
-    .B1(_03563_),
-    .B2(_03404_),
-    .C1(_03566_),
-    .X(_03567_),
+ sky130_fd_sc_hd__o221a_1 _13742_ (.A1(_03298_),
+    .A2(_03135_),
+    .B1(_03299_),
+    .B2(_03138_),
+    .C1(_03302_),
+    .X(_03303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14736_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
-    .Y(_03568_),
+ sky130_fd_sc_hd__inv_2 _13743_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
+    .Y(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14737_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
-    .Y(_03569_),
+ sky130_fd_sc_hd__inv_2 _13744_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
+    .Y(_03305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14738_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
-    .Y(_03570_),
+ sky130_fd_sc_hd__inv_2 _13745_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
+    .Y(_03306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14739_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
-    .Y(_03571_),
+ sky130_fd_sc_hd__inv_2 _13746_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
+    .Y(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14740_ (.A1(_03570_),
-    .A2(_03423_),
-    .B1(_03571_),
-    .B2(_03426_),
-    .X(_03572_),
+ sky130_fd_sc_hd__o22a_1 _13747_ (.A1(_03306_),
+    .A2(_03158_),
+    .B1(_03307_),
+    .B2(_03161_),
+    .X(_03308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14741_ (.A1(_03568_),
-    .A2(_03416_),
-    .B1(_03569_),
-    .B2(_03420_),
-    .C1(_03572_),
-    .X(_03573_),
+ sky130_fd_sc_hd__o221a_1 _13748_ (.A1(_03304_),
+    .A2(_03151_),
+    .B1(_03305_),
+    .B2(_03155_),
+    .C1(_03308_),
+    .X(_03309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14742_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
-    .Y(_03574_),
+ sky130_fd_sc_hd__inv_2 _13749_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
+    .Y(_03310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14743_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
-    .Y(_03575_),
+ sky130_fd_sc_hd__inv_2 _13750_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
+    .Y(_03311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _14744_ (.A(_03435_),
-    .B(_03436_),
+ sky130_fd_sc_hd__or3b_1 _13751_ (.A(_03170_),
+    .B(_03171_),
     .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
-    .X(_03576_),
+    .X(_03312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14745_ (.A1(_03574_),
-    .A2(_03431_),
-    .B1(_03575_),
-    .B2(_03434_),
-    .C1(_03576_),
-    .X(_03577_),
+ sky130_fd_sc_hd__o221a_1 _13752_ (.A1(_03310_),
+    .A2(_03166_),
+    .B1(_03311_),
+    .B2(_03169_),
+    .C1(_03312_),
+    .X(_03313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14746_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
-    .Y(_03578_),
+ sky130_fd_sc_hd__inv_2 _13753_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
+    .Y(_03314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14747_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
-    .Y(_03579_),
+ sky130_fd_sc_hd__inv_2 _13754_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
+    .Y(_03315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14748_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
-    .Y(_03580_),
+ sky130_fd_sc_hd__inv_2 _13755_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
+    .Y(_03316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14749_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
-    .Y(_03581_),
+ sky130_fd_sc_hd__inv_2 _13756_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
+    .Y(_03317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14750_ (.A1(_03580_),
-    .A2(_03447_),
-    .B1(_03581_),
-    .B2(_03450_),
-    .X(_03582_),
+ sky130_fd_sc_hd__o22a_1 _13757_ (.A1(_03316_),
+    .A2(_03182_),
+    .B1(_03317_),
+    .B2(_03185_),
+    .X(_03318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14751_ (.A1(_03578_),
-    .A2(_03441_),
-    .B1(_03579_),
-    .B2(_03444_),
-    .C1(_03582_),
-    .X(_03583_),
+ sky130_fd_sc_hd__o221a_1 _13758_ (.A1(_03314_),
+    .A2(_03176_),
+    .B1(_03315_),
+    .B2(_03179_),
+    .C1(_03318_),
+    .X(_03319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _14752_ (.A(_03567_),
-    .B(_03573_),
-    .C(_03577_),
-    .D(_03583_),
-    .X(_03584_),
+ sky130_fd_sc_hd__and4_1 _13759_ (.A(_03303_),
+    .B(_03309_),
+    .C(_03313_),
+    .D(_03319_),
+    .X(_03320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14753_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
-    .Y(_03585_),
+ sky130_fd_sc_hd__inv_2 _13760_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
+    .Y(_03321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14754_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
-    .Y(_03586_),
+ sky130_fd_sc_hd__inv_2 _13761_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
+    .Y(_03322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14755_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
-    .Y(_03587_),
+ sky130_fd_sc_hd__inv_2 _13762_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
+    .Y(_03323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14756_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
-    .Y(_03588_),
+ sky130_fd_sc_hd__inv_2 _13763_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
+    .Y(_03324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14757_ (.A1(_03587_),
-    .A2(_03462_),
-    .B1(_03588_),
-    .B2(_03465_),
-    .X(_03589_),
+ sky130_fd_sc_hd__o22a_1 _13764_ (.A1(_03323_),
+    .A2(_03197_),
+    .B1(_03324_),
+    .B2(_03200_),
+    .X(_03325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14758_ (.A1(_03585_),
-    .A2(_03456_),
-    .B1(_03586_),
-    .B2(_03459_),
-    .C1(_03589_),
-    .X(_03590_),
+ sky130_fd_sc_hd__o221a_1 _13765_ (.A1(_03321_),
+    .A2(_03191_),
+    .B1(_03322_),
+    .B2(_03194_),
+    .C1(_03325_),
+    .X(_03326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14759_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
-    .Y(_03591_),
+ sky130_fd_sc_hd__inv_2 _13766_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
+    .Y(_03327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14760_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
-    .Y(_03592_),
+ sky130_fd_sc_hd__inv_2 _13767_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
+    .Y(_03328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14761_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
-    .Y(_03593_),
+ sky130_fd_sc_hd__inv_2 _13768_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
+    .Y(_03329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14762_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
-    .Y(_03594_),
+ sky130_fd_sc_hd__inv_2 _13769_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
+    .Y(_03330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14763_ (.A1(_03593_),
-    .A2(_03476_),
-    .B1(_03594_),
-    .B2(_03479_),
-    .X(_03595_),
+ sky130_fd_sc_hd__o22a_1 _13770_ (.A1(_03329_),
+    .A2(_03211_),
+    .B1(_03330_),
+    .B2(_03214_),
+    .X(_03331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14764_ (.A1(_03591_),
-    .A2(_03470_),
-    .B1(_03592_),
-    .B2(_03473_),
-    .C1(_03595_),
-    .X(_03596_),
+ sky130_fd_sc_hd__o221a_1 _13771_ (.A1(_03327_),
+    .A2(_03205_),
+    .B1(_03328_),
+    .B2(_03208_),
+    .C1(_03331_),
+    .X(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14765_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
-    .Y(_03597_),
+ sky130_fd_sc_hd__inv_2 _13772_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
+    .Y(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14766_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
-    .Y(_03598_),
+ sky130_fd_sc_hd__inv_2 _13773_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
+    .Y(_03334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14767_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
-    .Y(_03599_),
+ sky130_fd_sc_hd__inv_2 _13774_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
+    .Y(_03335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14768_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
-    .Y(_03600_),
+ sky130_fd_sc_hd__inv_2 _13775_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
+    .Y(_03336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14769_ (.A1(_03599_),
-    .A2(_03490_),
-    .B1(_03600_),
-    .B2(_03493_),
-    .X(_03601_),
+ sky130_fd_sc_hd__o22a_1 _13776_ (.A1(_03335_),
+    .A2(_03225_),
+    .B1(_03336_),
+    .B2(_03228_),
+    .X(_03337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14770_ (.A1(_03597_),
-    .A2(_03484_),
-    .B1(_03598_),
-    .B2(_03487_),
-    .C1(_03601_),
-    .X(_03602_),
+ sky130_fd_sc_hd__o221a_1 _13777_ (.A1(_03333_),
+    .A2(_03219_),
+    .B1(_03334_),
+    .B2(_03222_),
+    .C1(_03337_),
+    .X(_03338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14771_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
-    .Y(_03603_),
+ sky130_fd_sc_hd__inv_2 _13778_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
+    .Y(_03339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14772_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
-    .Y(_03604_),
+ sky130_fd_sc_hd__inv_2 _13779_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
+    .Y(_03340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14773_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
-    .Y(_03605_),
+ sky130_fd_sc_hd__inv_2 _13780_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
+    .Y(_03341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14774_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
-    .Y(_03606_),
+ sky130_fd_sc_hd__inv_2 _13781_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
+    .Y(_03342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14775_ (.A1(_03605_),
-    .A2(_03504_),
-    .B1(_03606_),
-    .B2(_03507_),
-    .X(_03607_),
+ sky130_fd_sc_hd__o22a_1 _13782_ (.A1(_03341_),
+    .A2(_03239_),
+    .B1(_03342_),
+    .B2(_03242_),
+    .X(_03343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14776_ (.A1(_03603_),
-    .A2(_03498_),
-    .B1(_03604_),
-    .B2(_03501_),
-    .C1(_03607_),
-    .X(_03608_),
+ sky130_fd_sc_hd__o221a_1 _13783_ (.A1(_03339_),
+    .A2(_03233_),
+    .B1(_03340_),
+    .B2(_03236_),
+    .C1(_03343_),
+    .X(_03344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14777_ (.A(_03590_),
-    .B(_03596_),
-    .C(_03602_),
-    .D(_03608_),
-    .X(_03609_),
+ sky130_fd_sc_hd__and4_2 _13784_ (.A(_03326_),
+    .B(_03332_),
+    .C(_03338_),
+    .D(_03344_),
+    .X(_03345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14778_ (.A(_03536_),
-    .B(_03561_),
-    .C(_03584_),
-    .D(_03609_),
+ sky130_fd_sc_hd__and4_1 _13785_ (.A(_03272_),
+    .B(_03297_),
+    .C(_03320_),
+    .D(_03345_),
+    .X(_03346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13786_ (.A(_03346_),
     .X(_00488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14779_ (.A(_07053_),
-    .X(_03610_),
+ sky130_fd_sc_hd__clkbuf_1 _13787_ (.A(_07963_),
+    .X(_03347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211ai_1 _14780_ (.A1(_00489_),
-    .A2(_02988_),
-    .B1(_03034_),
-    .C1(_03610_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13788_ (.A(_06661_),
+    .X(_03348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o211ai_1 _13789_ (.A1(_00489_),
+    .A2(_03347_),
+    .B1(_07957_),
+    .C1(_03348_),
     .Y(_00490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14781_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
+ sky130_fd_sc_hd__inv_2 _13790_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
     .Y(_00498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14782_ (.A(_03246_),
+ sky130_fd_sc_hd__nor2_1 _13791_ (.A(\u_usb_host.u_core.sof_transfer_q ),
     .B(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
     .Y(_00499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14783_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
+ sky130_fd_sc_hd__clkinv_2 _13792_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
     .Y(_00502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14784_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
-    .Y(_03611_),
+ sky130_fd_sc_hd__inv_2 _13793_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
+    .Y(_03349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14785_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
+ sky130_fd_sc_hd__inv_2 _13794_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
+    .Y(_03350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13795_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
+    .Y(_03351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13796_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
+    .Y(_03352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13797_ (.A1(_03351_),
+    .A2(_03009_),
+    .B1(_03352_),
+    .B2(_03013_),
+    .X(_03353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13798_ (.A1(_03349_),
+    .A2(_02997_),
+    .B1(_03350_),
+    .B2(_03002_),
+    .C1(_03353_),
+    .X(_03354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13799_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
+    .Y(_03355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13800_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
+    .Y(_03356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13801_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
+    .Y(_03357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13802_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
+    .Y(_03358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13803_ (.A1(_03357_),
+    .A2(_03027_),
+    .B1(_03358_),
+    .B2(_03032_),
+    .X(_03359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13804_ (.A1(_03355_),
+    .A2(_03020_),
+    .B1(_03356_),
+    .B2(_03023_),
+    .C1(_03359_),
+    .X(_03360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13805_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
+    .Y(_03361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13806_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
+    .Y(_03362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13807_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
+    .Y(_03363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13808_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
+    .Y(_03364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13809_ (.A1(_03363_),
+    .A2(_03046_),
+    .B1(_03364_),
+    .B2(_03050_),
+    .X(_03365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13810_ (.A1(_03361_),
+    .A2(_03038_),
+    .B1(_03362_),
+    .B2(_03041_),
+    .C1(_03365_),
+    .X(_03366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13811_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
+    .Y(_03367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13812_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
+    .Y(_03368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13813_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+    .Y(_03369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13814_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
+    .Y(_03370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13815_ (.A1(_03369_),
+    .A2(_03065_),
+    .B1(_03370_),
+    .B2(_03068_),
+    .X(_03371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13816_ (.A1(_03367_),
+    .A2(_03056_),
+    .B1(_03368_),
+    .B2(_03060_),
+    .C1(_03371_),
+    .X(_03372_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _13817_ (.A(_03354_),
+    .B(_03360_),
+    .C(_03366_),
+    .D(_03372_),
+    .X(_03373_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13818_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
+    .Y(_03374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13819_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+    .Y(_03375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13820_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
+    .Y(_03376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13821_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
+    .Y(_03377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13822_ (.A1(_03376_),
+    .A2(_03080_),
+    .B1(_03377_),
+    .B2(_03084_),
+    .X(_03378_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13823_ (.A1(_03374_),
+    .A2(_03075_),
+    .B1(_03375_),
+    .B2(_06306_),
+    .C1(_03378_),
+    .X(_03379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13824_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
+    .Y(_03380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13825_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
+    .Y(_03381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13826_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
+    .Y(_03382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13827_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
+    .Y(_03383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13828_ (.A1(_03382_),
+    .A2(_03095_),
+    .B1(_03383_),
+    .B2(_03099_),
+    .X(_03384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13829_ (.A1(_03380_),
+    .A2(_03089_),
+    .B1(_03381_),
+    .B2(_03092_),
+    .C1(_03384_),
+    .X(_03385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13830_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
+    .Y(_03386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13831_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
+    .Y(_03387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13832_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
+    .Y(_03388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13833_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
+    .Y(_03389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13834_ (.A1(_03388_),
+    .A2(_03110_),
+    .B1(_03389_),
+    .B2(_03113_),
+    .X(_03390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13835_ (.A1(_03386_),
+    .A2(_03104_),
+    .B1(_03387_),
+    .B2(_03107_),
+    .C1(_03390_),
+    .X(_03391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13836_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
+    .Y(_03392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13837_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
+    .Y(_03393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13838_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
+    .Y(_03394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13839_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
+    .Y(_03395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13840_ (.A1(_03394_),
+    .A2(_03125_),
+    .B1(_03395_),
+    .B2(_03128_),
+    .X(_03396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13841_ (.A1(_03392_),
+    .A2(_03119_),
+    .B1(_03393_),
+    .B2(_03122_),
+    .C1(_03396_),
+    .X(_03397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _13842_ (.A(_03379_),
+    .B(_03385_),
+    .C(_03391_),
+    .D(_03397_),
+    .X(_03398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13843_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
+    .Y(_03399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13844_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
+    .Y(_03400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13845_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
+    .Y(_03401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13846_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
+    .Y(_03402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13847_ (.A1(_03401_),
+    .A2(_03141_),
+    .B1(_03402_),
+    .B2(_03145_),
+    .X(_03403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13848_ (.A1(_03399_),
+    .A2(_03135_),
+    .B1(_03400_),
+    .B2(_03138_),
+    .C1(_03403_),
+    .X(_03404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13849_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
+    .Y(_03405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13850_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
+    .Y(_03406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13851_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
+    .Y(_03407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13852_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
+    .Y(_03408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13853_ (.A1(_03407_),
+    .A2(_03158_),
+    .B1(_03408_),
+    .B2(_03161_),
+    .X(_03409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13854_ (.A1(_03405_),
+    .A2(_03151_),
+    .B1(_03406_),
+    .B2(_03155_),
+    .C1(_03409_),
+    .X(_03410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13855_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
+    .Y(_03411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13856_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
+    .Y(_03412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3b_1 _13857_ (.A(_03170_),
+    .B(_03171_),
+    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
+    .X(_03413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13858_ (.A1(_03411_),
+    .A2(_03166_),
+    .B1(_03412_),
+    .B2(_03169_),
+    .C1(_03413_),
+    .X(_03414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13859_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
+    .Y(_03415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13860_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
+    .Y(_03416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13861_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
+    .Y(_03417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13862_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
+    .Y(_03418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13863_ (.A1(_03417_),
+    .A2(_03182_),
+    .B1(_03418_),
+    .B2(_03185_),
+    .X(_03419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13864_ (.A1(_03415_),
+    .A2(_03176_),
+    .B1(_03416_),
+    .B2(_03179_),
+    .C1(_03419_),
+    .X(_03420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _13865_ (.A(_03404_),
+    .B(_03410_),
+    .C(_03414_),
+    .D(_03420_),
+    .X(_03421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13866_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
+    .Y(_03422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13867_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
+    .Y(_03423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13868_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
+    .Y(_03424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13869_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
+    .Y(_03425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13870_ (.A1(_03424_),
+    .A2(_03197_),
+    .B1(_03425_),
+    .B2(_03200_),
+    .X(_03426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13871_ (.A1(_03422_),
+    .A2(_03191_),
+    .B1(_03423_),
+    .B2(_03194_),
+    .C1(_03426_),
+    .X(_03427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13872_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
+    .Y(_03428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13873_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
+    .Y(_03429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13874_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
+    .Y(_03430_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13875_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
+    .Y(_03431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13876_ (.A1(_03430_),
+    .A2(_03211_),
+    .B1(_03431_),
+    .B2(_03214_),
+    .X(_03432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13877_ (.A1(_03428_),
+    .A2(_03205_),
+    .B1(_03429_),
+    .B2(_03208_),
+    .C1(_03432_),
+    .X(_03433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13878_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
+    .Y(_03434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13879_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
+    .Y(_03435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13880_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
+    .Y(_03436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13881_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
+    .Y(_03437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13882_ (.A1(_03436_),
+    .A2(_03225_),
+    .B1(_03437_),
+    .B2(_03228_),
+    .X(_03438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13883_ (.A1(_03434_),
+    .A2(_03219_),
+    .B1(_03435_),
+    .B2(_03222_),
+    .C1(_03438_),
+    .X(_03439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13884_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
+    .Y(_03440_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13885_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
+    .Y(_03441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13886_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
+    .Y(_03442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13887_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
+    .Y(_03443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13888_ (.A1(_03442_),
+    .A2(_03239_),
+    .B1(_03443_),
+    .B2(_03242_),
+    .X(_03444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13889_ (.A1(_03440_),
+    .A2(_03233_),
+    .B1(_03441_),
+    .B2(_03236_),
+    .C1(_03444_),
+    .X(_03445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_2 _13890_ (.A(_03427_),
+    .B(_03433_),
+    .C(_03439_),
+    .D(_03445_),
+    .X(_03446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _13891_ (.A(_03373_),
+    .B(_03398_),
+    .C(_03421_),
+    .D(_03446_),
+    .X(_03447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13892_ (.A(_03447_),
+    .X(_00503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _13893_ (.A(_00504_),
+    .B(_03347_),
+    .X(_03448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13894_ (.A(_03448_),
+    .X(_00505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _13895_ (.A(\u_usb_host.u_core.sof_transfer_q ),
+    .B(_05753_),
+    .Y(_00515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _13896_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
+    .Y(_00516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13897_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
+    .Y(_03449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13898_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
+    .Y(_03450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13899_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
+    .Y(_03451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13900_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
+    .Y(_03452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13901_ (.A1(_03451_),
+    .A2(_03009_),
+    .B1(_03452_),
+    .B2(_03013_),
+    .X(_03453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13902_ (.A1(_03449_),
+    .A2(_02997_),
+    .B1(_03450_),
+    .B2(_03002_),
+    .C1(_03453_),
+    .X(_03454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13903_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
+    .Y(_03455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13904_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
+    .Y(_03456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13905_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
+    .Y(_03457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13906_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
+    .Y(_03458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13907_ (.A1(_03457_),
+    .A2(_03027_),
+    .B1(_03458_),
+    .B2(_03032_),
+    .X(_03459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13908_ (.A1(_03455_),
+    .A2(_03020_),
+    .B1(_03456_),
+    .B2(_03023_),
+    .C1(_03459_),
+    .X(_03460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13909_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
+    .Y(_03461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13910_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
+    .Y(_03462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13911_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
+    .Y(_03463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13912_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
+    .Y(_03464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13913_ (.A1(_03463_),
+    .A2(_03046_),
+    .B1(_03464_),
+    .B2(_03050_),
+    .X(_03465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13914_ (.A1(_03461_),
+    .A2(_03038_),
+    .B1(_03462_),
+    .B2(_03041_),
+    .C1(_03465_),
+    .X(_03466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13915_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
+    .Y(_03467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13916_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
+    .Y(_03468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13917_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
+    .Y(_03469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13918_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
+    .Y(_03470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13919_ (.A1(_03469_),
+    .A2(_03065_),
+    .B1(_03470_),
+    .B2(_03068_),
+    .X(_03471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13920_ (.A1(_03467_),
+    .A2(_03056_),
+    .B1(_03468_),
+    .B2(_03060_),
+    .C1(_03471_),
+    .X(_03472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _13921_ (.A(_03454_),
+    .B(_03460_),
+    .C(_03466_),
+    .D(_03472_),
+    .X(_03473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13922_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
+    .Y(_03474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13923_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
+    .Y(_03475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13924_ (.A(_06305_),
+    .X(_03476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13925_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
+    .Y(_03477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13926_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
+    .Y(_03478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13927_ (.A1(_03477_),
+    .A2(_03080_),
+    .B1(_03478_),
+    .B2(_03084_),
+    .X(_03479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13928_ (.A1(_03474_),
+    .A2(_03075_),
+    .B1(_03475_),
+    .B2(_03476_),
+    .C1(_03479_),
+    .X(_03480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13929_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
+    .Y(_03481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13930_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
+    .Y(_03482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13931_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
+    .Y(_03483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13932_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
+    .Y(_03484_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13933_ (.A1(_03483_),
+    .A2(_03095_),
+    .B1(_03484_),
+    .B2(_03099_),
+    .X(_03485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13934_ (.A1(_03481_),
+    .A2(_03089_),
+    .B1(_03482_),
+    .B2(_03092_),
+    .C1(_03485_),
+    .X(_03486_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13935_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
+    .Y(_03487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13936_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
+    .Y(_03488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13937_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
+    .Y(_03489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13938_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
+    .Y(_03490_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13939_ (.A1(_03489_),
+    .A2(_03110_),
+    .B1(_03490_),
+    .B2(_03113_),
+    .X(_03491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13940_ (.A1(_03487_),
+    .A2(_03104_),
+    .B1(_03488_),
+    .B2(_03107_),
+    .C1(_03491_),
+    .X(_03492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13941_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
+    .Y(_03493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13942_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
+    .Y(_03494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13943_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
+    .Y(_03495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13944_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
+    .Y(_03496_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13945_ (.A1(_03495_),
+    .A2(_03125_),
+    .B1(_03496_),
+    .B2(_03128_),
+    .X(_03497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13946_ (.A1(_03493_),
+    .A2(_03119_),
+    .B1(_03494_),
+    .B2(_03122_),
+    .C1(_03497_),
+    .X(_03498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _13947_ (.A(_03480_),
+    .B(_03486_),
+    .C(_03492_),
+    .D(_03498_),
+    .X(_03499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13948_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
+    .Y(_03500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13949_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
+    .Y(_03501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13950_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
+    .Y(_03502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13951_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
+    .Y(_03503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13952_ (.A1(_03502_),
+    .A2(_03141_),
+    .B1(_03503_),
+    .B2(_03145_),
+    .X(_03504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13953_ (.A1(_03500_),
+    .A2(_03135_),
+    .B1(_03501_),
+    .B2(_03138_),
+    .C1(_03504_),
+    .X(_03505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13954_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
+    .Y(_03506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13955_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
+    .Y(_03507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13956_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
+    .Y(_03508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13957_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
+    .Y(_03509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13958_ (.A1(_03508_),
+    .A2(_03158_),
+    .B1(_03509_),
+    .B2(_03161_),
+    .X(_03510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13959_ (.A1(_03506_),
+    .A2(_03151_),
+    .B1(_03507_),
+    .B2(_03155_),
+    .C1(_03510_),
+    .X(_03511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13960_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
+    .Y(_03512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13961_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
+    .Y(_03513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3b_1 _13962_ (.A(_03170_),
+    .B(_03171_),
+    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
+    .X(_03514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13963_ (.A1(_03512_),
+    .A2(_03166_),
+    .B1(_03513_),
+    .B2(_03169_),
+    .C1(_03514_),
+    .X(_03515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13964_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
+    .Y(_03516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13965_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
+    .Y(_03517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13966_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
+    .Y(_03518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13967_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
+    .Y(_03519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13968_ (.A1(_03518_),
+    .A2(_03182_),
+    .B1(_03519_),
+    .B2(_03185_),
+    .X(_03520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13969_ (.A1(_03516_),
+    .A2(_03176_),
+    .B1(_03517_),
+    .B2(_03179_),
+    .C1(_03520_),
+    .X(_03521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _13970_ (.A(_03505_),
+    .B(_03511_),
+    .C(_03515_),
+    .D(_03521_),
+    .X(_03522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13971_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
+    .Y(_03523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13972_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
+    .Y(_03524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13973_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
+    .Y(_03525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13974_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
+    .Y(_03526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13975_ (.A1(_03525_),
+    .A2(_03197_),
+    .B1(_03526_),
+    .B2(_03200_),
+    .X(_03527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13976_ (.A1(_03523_),
+    .A2(_03191_),
+    .B1(_03524_),
+    .B2(_03194_),
+    .C1(_03527_),
+    .X(_03528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13977_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
+    .Y(_03529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13978_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
+    .Y(_03530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13979_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
+    .Y(_03531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13980_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
+    .Y(_03532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13981_ (.A1(_03531_),
+    .A2(_03211_),
+    .B1(_03532_),
+    .B2(_03214_),
+    .X(_03533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13982_ (.A1(_03529_),
+    .A2(_03205_),
+    .B1(_03530_),
+    .B2(_03208_),
+    .C1(_03533_),
+    .X(_03534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13983_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
+    .Y(_03535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13984_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
+    .Y(_03536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13985_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
+    .Y(_03537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13986_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
+    .Y(_03538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13987_ (.A1(_03537_),
+    .A2(_03225_),
+    .B1(_03538_),
+    .B2(_03228_),
+    .X(_03539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13988_ (.A1(_03535_),
+    .A2(_03219_),
+    .B1(_03536_),
+    .B2(_03222_),
+    .C1(_03539_),
+    .X(_03540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13989_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
+    .Y(_03541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13990_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
+    .Y(_03542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13991_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
+    .Y(_03543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13992_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
+    .Y(_03544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _13993_ (.A1(_03543_),
+    .A2(_03239_),
+    .B1(_03544_),
+    .B2(_03242_),
+    .X(_03545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _13994_ (.A1(_03541_),
+    .A2(_03233_),
+    .B1(_03542_),
+    .B2(_03236_),
+    .C1(_03545_),
+    .X(_03546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_2 _13995_ (.A(_03528_),
+    .B(_03534_),
+    .C(_03540_),
+    .D(_03546_),
+    .X(_03547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _13996_ (.A(_03473_),
+    .B(_03499_),
+    .C(_03522_),
+    .D(_03547_),
+    .X(_03548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _13997_ (.A(_03548_),
+    .X(_00517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _13998_ (.A(_00518_),
+    .B(_03247_),
+    .Y(_00519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _13999_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
+    .Y(_00528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14000_ (.A(\u_usb_host.u_core.sof_transfer_q ),
+    .B(_05746_),
+    .X(_03549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14001_ (.A(_03549_),
+    .X(_00529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14002_ (.A(\u_usb_host.u_core.u_sie.token_q[3] ),
+    .Y(_00531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _14003_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
+    .Y(_00532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14004_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
+    .Y(_03550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14005_ (.A(_02996_),
+    .X(_03551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14006_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
+    .Y(_03552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14007_ (.A(_03001_),
+    .X(_03553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14008_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
+    .Y(_03554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14009_ (.A(_03008_),
+    .X(_03555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14010_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
+    .Y(_03556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14011_ (.A(_03012_),
+    .X(_03557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14012_ (.A1(_03554_),
+    .A2(_03555_),
+    .B1(_03556_),
+    .B2(_03557_),
+    .X(_03558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14013_ (.A1(_03550_),
+    .A2(_03551_),
+    .B1(_03552_),
+    .B2(_03553_),
+    .C1(_03558_),
+    .X(_03559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14014_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
+    .Y(_03560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14015_ (.A(_03019_),
+    .X(_03561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14016_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
+    .Y(_03562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14017_ (.A(_03022_),
+    .X(_03563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14018_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
+    .Y(_03564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14019_ (.A(_03026_),
+    .X(_03565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14020_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
+    .Y(_03566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14021_ (.A(_03031_),
+    .X(_03567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14022_ (.A1(_03564_),
+    .A2(_03565_),
+    .B1(_03566_),
+    .B2(_03567_),
+    .X(_03568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14023_ (.A1(_03560_),
+    .A2(_03561_),
+    .B1(_03562_),
+    .B2(_03563_),
+    .C1(_03568_),
+    .X(_03569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14024_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
+    .Y(_03570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14025_ (.A(_03037_),
+    .X(_03571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14026_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
+    .Y(_03572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14027_ (.A(_03040_),
+    .X(_03573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14028_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
+    .Y(_03574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14029_ (.A(_03045_),
+    .X(_03575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14030_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
+    .Y(_03576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14031_ (.A(_03049_),
+    .X(_03577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14032_ (.A1(_03574_),
+    .A2(_03575_),
+    .B1(_03576_),
+    .B2(_03577_),
+    .X(_03578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14033_ (.A1(_03570_),
+    .A2(_03571_),
+    .B1(_03572_),
+    .B2(_03573_),
+    .C1(_03578_),
+    .X(_03579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14034_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
+    .Y(_03580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14035_ (.A(_03055_),
+    .X(_03581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14036_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
+    .Y(_03582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14037_ (.A(_03059_),
+    .X(_03583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14038_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
+    .Y(_03584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14039_ (.A(_03064_),
+    .X(_03585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14040_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
+    .Y(_03586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14041_ (.A(_03067_),
+    .X(_03587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14042_ (.A1(_03584_),
+    .A2(_03585_),
+    .B1(_03586_),
+    .B2(_03587_),
+    .X(_03588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14043_ (.A1(_03580_),
+    .A2(_03581_),
+    .B1(_03582_),
+    .B2(_03583_),
+    .C1(_03588_),
+    .X(_03589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _14044_ (.A(_03559_),
+    .B(_03569_),
+    .C(_03579_),
+    .D(_03589_),
+    .X(_03590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14045_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
+    .Y(_03591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14046_ (.A(_03074_),
+    .X(_03592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14047_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
+    .Y(_03593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14048_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
+    .Y(_03594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14049_ (.A(_03079_),
+    .X(_03595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14050_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
+    .Y(_03596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14051_ (.A(_03083_),
+    .X(_03597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14052_ (.A1(_03594_),
+    .A2(_03595_),
+    .B1(_03596_),
+    .B2(_03597_),
+    .X(_03598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14053_ (.A1(_03591_),
+    .A2(_03592_),
+    .B1(_03593_),
+    .B2(_03476_),
+    .C1(_03598_),
+    .X(_03599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14054_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
+    .Y(_03600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14055_ (.A(_03088_),
+    .X(_03601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14056_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
+    .Y(_03602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14057_ (.A(_03091_),
+    .X(_03603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14058_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
+    .Y(_03604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14059_ (.A(_03094_),
+    .X(_03605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14060_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
+    .Y(_03606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14061_ (.A(_03098_),
+    .X(_03607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14062_ (.A1(_03604_),
+    .A2(_03605_),
+    .B1(_03606_),
+    .B2(_03607_),
+    .X(_03608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14063_ (.A1(_03600_),
+    .A2(_03601_),
+    .B1(_03602_),
+    .B2(_03603_),
+    .C1(_03608_),
+    .X(_03609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14064_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
+    .Y(_03610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14065_ (.A(_03103_),
+    .X(_03611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14066_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
     .Y(_03612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14786_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
-    .Y(_03613_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14067_ (.A(_03106_),
+    .X(_03613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14787_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
+ sky130_fd_sc_hd__inv_2 _14068_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
     .Y(_03614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _14788_ (.A1(_03613_),
-    .A2(_03273_),
-    .B1(_03614_),
-    .B2(_03277_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14069_ (.A(_03109_),
     .X(_03615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14789_ (.A1(_03611_),
-    .A2(_03261_),
+ sky130_fd_sc_hd__inv_2 _14070_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
+    .Y(_03616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14071_ (.A(_03112_),
+    .X(_03617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14072_ (.A1(_03614_),
+    .A2(_03615_),
+    .B1(_03616_),
+    .B2(_03617_),
+    .X(_03618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14073_ (.A1(_03610_),
+    .A2(_03611_),
     .B1(_03612_),
-    .B2(_03266_),
-    .C1(_03615_),
-    .X(_03616_),
+    .B2(_03613_),
+    .C1(_03618_),
+    .X(_03619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14790_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
-    .Y(_03617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14791_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
-    .Y(_03618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14792_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
-    .Y(_03619_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14793_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
+ sky130_fd_sc_hd__inv_2 _14074_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
     .Y(_03620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14794_ (.A1(_03619_),
-    .A2(_03293_),
-    .B1(_03620_),
-    .B2(_03298_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14075_ (.A(_03118_),
     .X(_03621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14795_ (.A1(_03617_),
-    .A2(_03286_),
-    .B1(_03618_),
-    .B2(_03289_),
-    .C1(_03621_),
-    .X(_03622_),
+ sky130_fd_sc_hd__inv_2 _14076_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
+    .Y(_03622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14796_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
-    .Y(_03623_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14077_ (.A(_03121_),
+    .X(_03623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14797_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
+ sky130_fd_sc_hd__inv_2 _14078_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
     .Y(_03624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14798_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
-    .Y(_03625_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14079_ (.A(_03124_),
+    .X(_03625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14799_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
+ sky130_fd_sc_hd__inv_2 _14080_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
     .Y(_03626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14800_ (.A1(_03625_),
-    .A2(_03311_),
-    .B1(_03626_),
-    .B2(_03316_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14081_ (.A(_03127_),
     .X(_03627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14801_ (.A1(_03623_),
-    .A2(_03304_),
-    .B1(_03624_),
-    .B2(_03307_),
-    .C1(_03627_),
+ sky130_fd_sc_hd__o22a_1 _14082_ (.A1(_03624_),
+    .A2(_03625_),
+    .B1(_03626_),
+    .B2(_03627_),
     .X(_03628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14802_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
-    .Y(_03629_),
+ sky130_fd_sc_hd__o221a_1 _14083_ (.A1(_03620_),
+    .A2(_03621_),
+    .B1(_03622_),
+    .B2(_03623_),
+    .C1(_03628_),
+    .X(_03629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14803_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
-    .Y(_03630_),
+ sky130_fd_sc_hd__and4_1 _14084_ (.A(_03599_),
+    .B(_03609_),
+    .C(_03619_),
+    .D(_03629_),
+    .X(_03630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14804_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+ sky130_fd_sc_hd__inv_2 _14085_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
     .Y(_03631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14805_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
-    .Y(_03632_),
+ sky130_fd_sc_hd__clkbuf_1 _14086_ (.A(_03134_),
+    .X(_03632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14806_ (.A1(_03631_),
-    .A2(_03331_),
-    .B1(_03632_),
-    .B2(_03334_),
-    .X(_03633_),
+ sky130_fd_sc_hd__inv_2 _14087_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
+    .Y(_03633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14807_ (.A1(_03629_),
-    .A2(_03322_),
-    .B1(_03630_),
-    .B2(_03326_),
-    .C1(_03633_),
+ sky130_fd_sc_hd__clkbuf_1 _14088_ (.A(_03137_),
     .X(_03634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _14808_ (.A(_03616_),
-    .B(_03622_),
-    .C(_03628_),
-    .D(_03634_),
-    .X(_03635_),
+ sky130_fd_sc_hd__inv_2 _14089_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
+    .Y(_03635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14809_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
-    .Y(_03636_),
+ sky130_fd_sc_hd__clkbuf_1 _14090_ (.A(_03140_),
+    .X(_03636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14810_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+ sky130_fd_sc_hd__inv_2 _14091_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
     .Y(_03637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14811_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
-    .Y(_03638_),
+ sky130_fd_sc_hd__clkbuf_1 _14092_ (.A(_03144_),
+    .X(_03638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14812_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
-    .Y(_03639_),
+ sky130_fd_sc_hd__o22a_1 _14093_ (.A1(_03635_),
+    .A2(_03636_),
+    .B1(_03637_),
+    .B2(_03638_),
+    .X(_03639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14813_ (.A1(_03638_),
-    .A2(_03346_),
-    .B1(_03639_),
-    .B2(_03350_),
+ sky130_fd_sc_hd__o221a_1 _14094_ (.A1(_03631_),
+    .A2(_03632_),
+    .B1(_03633_),
+    .B2(_03634_),
+    .C1(_03639_),
     .X(_03640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14814_ (.A1(_03636_),
-    .A2(_03341_),
-    .B1(_03637_),
-    .B2(_06646_),
-    .C1(_03640_),
-    .X(_03641_),
+ sky130_fd_sc_hd__inv_2 _14095_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
+    .Y(_03641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14815_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
-    .Y(_03642_),
+ sky130_fd_sc_hd__clkbuf_1 _14096_ (.A(_03150_),
+    .X(_03642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14816_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
+ sky130_fd_sc_hd__inv_2 _14097_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
     .Y(_03643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14817_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
-    .Y(_03644_),
+ sky130_fd_sc_hd__clkbuf_1 _14098_ (.A(_03154_),
+    .X(_03644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14818_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
+ sky130_fd_sc_hd__inv_2 _14099_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
     .Y(_03645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14819_ (.A1(_03644_),
-    .A2(_03361_),
-    .B1(_03645_),
-    .B2(_03365_),
+ sky130_fd_sc_hd__clkbuf_1 _14100_ (.A(_03157_),
     .X(_03646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14820_ (.A1(_03642_),
-    .A2(_03355_),
+ sky130_fd_sc_hd__inv_2 _14101_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
+    .Y(_03647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14102_ (.A(_03160_),
+    .X(_03648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14103_ (.A1(_03645_),
+    .A2(_03646_),
+    .B1(_03647_),
+    .B2(_03648_),
+    .X(_03649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14104_ (.A1(_03641_),
+    .A2(_03642_),
     .B1(_03643_),
-    .B2(_03358_),
-    .C1(_03646_),
-    .X(_03647_),
+    .B2(_03644_),
+    .C1(_03649_),
+    .X(_03650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14821_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
-    .Y(_03648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14822_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
-    .Y(_03649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14823_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
-    .Y(_03650_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14824_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
+ sky130_fd_sc_hd__inv_2 _14105_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
     .Y(_03651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14825_ (.A1(_03650_),
-    .A2(_03376_),
-    .B1(_03651_),
-    .B2(_03379_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14106_ (.A(_03165_),
     .X(_03652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14826_ (.A1(_03648_),
-    .A2(_03370_),
-    .B1(_03649_),
-    .B2(_03373_),
-    .C1(_03652_),
-    .X(_03653_),
+ sky130_fd_sc_hd__inv_2 _14107_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
+    .Y(_03653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14827_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
-    .Y(_03654_),
+ sky130_fd_sc_hd__clkbuf_1 _14108_ (.A(_03168_),
+    .X(_03654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14828_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
-    .Y(_03655_),
+ sky130_fd_sc_hd__clkbuf_1 _14109_ (.A(_06304_),
+    .X(_03655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14829_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
-    .Y(_03656_),
+ sky130_fd_sc_hd__clkbuf_1 _14110_ (.A(_03007_),
+    .X(_03656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14830_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
-    .Y(_03657_),
+ sky130_fd_sc_hd__or3b_1 _14111_ (.A(_03655_),
+    .B(_03656_),
+    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
+    .X(_03657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14831_ (.A1(_03656_),
-    .A2(_03391_),
-    .B1(_03657_),
-    .B2(_03394_),
+ sky130_fd_sc_hd__o221a_1 _14112_ (.A1(_03651_),
+    .A2(_03652_),
+    .B1(_03653_),
+    .B2(_03654_),
+    .C1(_03657_),
     .X(_03658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14832_ (.A1(_03654_),
-    .A2(_03385_),
-    .B1(_03655_),
-    .B2(_03388_),
-    .C1(_03658_),
-    .X(_03659_),
+ sky130_fd_sc_hd__inv_2 _14113_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
+    .Y(_03659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14833_ (.A(_03641_),
-    .B(_03647_),
-    .C(_03653_),
-    .D(_03659_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14114_ (.A(_03175_),
     .X(_03660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14834_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
+ sky130_fd_sc_hd__inv_2 _14115_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
     .Y(_03661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14835_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
-    .Y(_03662_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14116_ (.A(_03178_),
+    .X(_03662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14836_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
+ sky130_fd_sc_hd__inv_2 _14117_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
     .Y(_03663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14837_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
-    .Y(_03664_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14118_ (.A(_03181_),
+    .X(_03664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14838_ (.A1(_03663_),
-    .A2(_03407_),
-    .B1(_03664_),
-    .B2(_03410_),
-    .X(_03665_),
+ sky130_fd_sc_hd__inv_2 _14119_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
+    .Y(_03665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14839_ (.A1(_03661_),
-    .A2(_03401_),
-    .B1(_03662_),
-    .B2(_03404_),
-    .C1(_03665_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14120_ (.A(_03184_),
     .X(_03666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14840_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
-    .Y(_03667_),
+ sky130_fd_sc_hd__o22a_1 _14121_ (.A1(_03663_),
+    .A2(_03664_),
+    .B1(_03665_),
+    .B2(_03666_),
+    .X(_03667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14841_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
-    .Y(_03668_),
+ sky130_fd_sc_hd__o221a_1 _14122_ (.A1(_03659_),
+    .A2(_03660_),
+    .B1(_03661_),
+    .B2(_03662_),
+    .C1(_03667_),
+    .X(_03668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14842_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
-    .Y(_03669_),
+ sky130_fd_sc_hd__and4_1 _14123_ (.A(_03640_),
+    .B(_03650_),
+    .C(_03658_),
+    .D(_03668_),
+    .X(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14843_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
+ sky130_fd_sc_hd__inv_2 _14124_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
     .Y(_03670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14844_ (.A1(_03669_),
-    .A2(_03423_),
-    .B1(_03670_),
-    .B2(_03426_),
+ sky130_fd_sc_hd__clkbuf_1 _14125_ (.A(_03190_),
     .X(_03671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14845_ (.A1(_03667_),
-    .A2(_03416_),
-    .B1(_03668_),
-    .B2(_03420_),
-    .C1(_03671_),
-    .X(_03672_),
+ sky130_fd_sc_hd__inv_2 _14126_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
+    .Y(_03672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14846_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
-    .Y(_03673_),
+ sky130_fd_sc_hd__clkbuf_1 _14127_ (.A(_03193_),
+    .X(_03673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14847_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
+ sky130_fd_sc_hd__inv_2 _14128_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
     .Y(_03674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _14848_ (.A(_03435_),
-    .B(_03436_),
-    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
+ sky130_fd_sc_hd__clkbuf_1 _14129_ (.A(_03196_),
     .X(_03675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14849_ (.A1(_03673_),
-    .A2(_03431_),
-    .B1(_03674_),
-    .B2(_03434_),
-    .C1(_03675_),
-    .X(_03676_),
+ sky130_fd_sc_hd__inv_2 _14130_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
+    .Y(_03676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14850_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
-    .Y(_03677_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14131_ (.A(_03199_),
+    .X(_03677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14851_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
-    .Y(_03678_),
+ sky130_fd_sc_hd__o22a_1 _14132_ (.A1(_03674_),
+    .A2(_03675_),
+    .B1(_03676_),
+    .B2(_03677_),
+    .X(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14852_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
-    .Y(_03679_),
+ sky130_fd_sc_hd__o221a_1 _14133_ (.A1(_03670_),
+    .A2(_03671_),
+    .B1(_03672_),
+    .B2(_03673_),
+    .C1(_03678_),
+    .X(_03679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14853_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
+ sky130_fd_sc_hd__inv_2 _14134_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
     .Y(_03680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14854_ (.A1(_03679_),
-    .A2(_03447_),
-    .B1(_03680_),
-    .B2(_03450_),
+ sky130_fd_sc_hd__clkbuf_1 _14135_ (.A(_03204_),
     .X(_03681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14855_ (.A1(_03677_),
-    .A2(_03441_),
-    .B1(_03678_),
-    .B2(_03444_),
-    .C1(_03681_),
-    .X(_03682_),
+ sky130_fd_sc_hd__inv_2 _14136_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
+    .Y(_03682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _14856_ (.A(_03666_),
-    .B(_03672_),
-    .C(_03676_),
-    .D(_03682_),
+ sky130_fd_sc_hd__clkbuf_1 _14137_ (.A(_03207_),
     .X(_03683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14857_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
+ sky130_fd_sc_hd__inv_2 _14138_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
     .Y(_03684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14858_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
-    .Y(_03685_),
+ sky130_fd_sc_hd__clkbuf_1 _14139_ (.A(_03210_),
+    .X(_03685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14859_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
+ sky130_fd_sc_hd__inv_2 _14140_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
     .Y(_03686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14860_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
-    .Y(_03687_),
+ sky130_fd_sc_hd__clkbuf_1 _14141_ (.A(_03213_),
+    .X(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14861_ (.A1(_03686_),
-    .A2(_03462_),
-    .B1(_03687_),
-    .B2(_03465_),
+ sky130_fd_sc_hd__o22a_1 _14142_ (.A1(_03684_),
+    .A2(_03685_),
+    .B1(_03686_),
+    .B2(_03687_),
     .X(_03688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14862_ (.A1(_03684_),
-    .A2(_03456_),
-    .B1(_03685_),
-    .B2(_03459_),
+ sky130_fd_sc_hd__o221a_1 _14143_ (.A1(_03680_),
+    .A2(_03681_),
+    .B1(_03682_),
+    .B2(_03683_),
     .C1(_03688_),
     .X(_03689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14863_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
+ sky130_fd_sc_hd__inv_2 _14144_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
     .Y(_03690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14864_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
-    .Y(_03691_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14145_ (.A(_03218_),
+    .X(_03691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14865_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
+ sky130_fd_sc_hd__inv_2 _14146_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
     .Y(_03692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14866_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
-    .Y(_03693_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14147_ (.A(_03221_),
+    .X(_03693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14867_ (.A1(_03692_),
-    .A2(_03476_),
-    .B1(_03693_),
-    .B2(_03479_),
-    .X(_03694_),
+ sky130_fd_sc_hd__inv_2 _14148_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
+    .Y(_03694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14868_ (.A1(_03690_),
-    .A2(_03470_),
-    .B1(_03691_),
-    .B2(_03473_),
-    .C1(_03694_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14149_ (.A(_03224_),
     .X(_03695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14869_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
+ sky130_fd_sc_hd__inv_2 _14150_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
     .Y(_03696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14870_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
-    .Y(_03697_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14151_ (.A(_03227_),
+    .X(_03697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14871_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
-    .Y(_03698_),
+ sky130_fd_sc_hd__o22a_1 _14152_ (.A1(_03694_),
+    .A2(_03695_),
+    .B1(_03696_),
+    .B2(_03697_),
+    .X(_03698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14872_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
-    .Y(_03699_),
+ sky130_fd_sc_hd__o221a_1 _14153_ (.A1(_03690_),
+    .A2(_03691_),
+    .B1(_03692_),
+    .B2(_03693_),
+    .C1(_03698_),
+    .X(_03699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14873_ (.A1(_03698_),
-    .A2(_03490_),
-    .B1(_03699_),
-    .B2(_03493_),
-    .X(_03700_),
+ sky130_fd_sc_hd__inv_2 _14154_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
+    .Y(_03700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14874_ (.A1(_03696_),
-    .A2(_03484_),
-    .B1(_03697_),
-    .B2(_03487_),
-    .C1(_03700_),
+ sky130_fd_sc_hd__clkbuf_1 _14155_ (.A(_03232_),
     .X(_03701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14875_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
+ sky130_fd_sc_hd__inv_2 _14156_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
     .Y(_03702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14876_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
-    .Y(_03703_),
+ sky130_fd_sc_hd__clkbuf_1 _14157_ (.A(_03235_),
+    .X(_03703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14877_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
+ sky130_fd_sc_hd__inv_2 _14158_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
     .Y(_03704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14878_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
-    .Y(_03705_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14159_ (.A(_03238_),
+    .X(_03705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14879_ (.A1(_03704_),
-    .A2(_03504_),
-    .B1(_03705_),
-    .B2(_03507_),
-    .X(_03706_),
+ sky130_fd_sc_hd__inv_2 _14160_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
+    .Y(_03706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14880_ (.A1(_03702_),
-    .A2(_03498_),
-    .B1(_03703_),
-    .B2(_03501_),
-    .C1(_03706_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14161_ (.A(_03241_),
     .X(_03707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14881_ (.A(_03689_),
-    .B(_03695_),
-    .C(_03701_),
-    .D(_03707_),
+ sky130_fd_sc_hd__o22a_1 _14162_ (.A1(_03704_),
+    .A2(_03705_),
+    .B1(_03706_),
+    .B2(_03707_),
     .X(_03708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14882_ (.A(_03635_),
-    .B(_03660_),
-    .C(_03683_),
-    .D(_03708_),
-    .X(_00503_),
+ sky130_fd_sc_hd__o221a_1 _14163_ (.A1(_03700_),
+    .A2(_03701_),
+    .B1(_03702_),
+    .B2(_03703_),
+    .C1(_03708_),
+    .X(_03709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14883_ (.A(_00504_),
-    .B(_03511_),
-    .X(_00505_),
+ sky130_fd_sc_hd__and4_2 _14164_ (.A(_03679_),
+    .B(_03689_),
+    .C(_03699_),
+    .D(_03709_),
+    .X(_03710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14884_ (.A(_03246_),
-    .B(_06054_),
-    .Y(_00515_),
+ sky130_fd_sc_hd__and4_1 _14165_ (.A(_03590_),
+    .B(_03630_),
+    .C(_03669_),
+    .D(_03710_),
+    .X(_03711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14885_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
-    .Y(_00516_),
+ sky130_fd_sc_hd__clkbuf_1 _14166_ (.A(_03711_),
+    .X(_00533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14886_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
-    .Y(_03709_),
+ sky130_fd_sc_hd__o21a_1 _14167_ (.A1(_00534_),
+    .A2(_03247_),
+    .B1(_03348_),
+    .X(_00535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14887_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
-    .Y(_03710_),
+ sky130_fd_sc_hd__or2_1 _14168_ (.A(\u_usb_host.u_core.sof_transfer_q ),
+    .B(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+    .X(_03712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14888_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
-    .Y(_03711_),
+ sky130_fd_sc_hd__clkbuf_1 _14169_ (.A(_03712_),
+    .X(_00545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14889_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
-    .Y(_03712_),
+ sky130_fd_sc_hd__clkinv_2 _14170_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
+    .Y(_00546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _14890_ (.A1(_03711_),
-    .A2(_03273_),
-    .B1(_03712_),
-    .B2(_03277_),
-    .X(_03713_),
+ sky130_fd_sc_hd__inv_2 _14171_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
+    .Y(_03713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14891_ (.A1(_03709_),
-    .A2(_03261_),
-    .B1(_03710_),
-    .B2(_03266_),
-    .C1(_03713_),
-    .X(_03714_),
+ sky130_fd_sc_hd__inv_2 _14172_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
+    .Y(_03714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14892_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
+ sky130_fd_sc_hd__inv_2 _14173_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
     .Y(_03715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14893_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
+ sky130_fd_sc_hd__inv_2 _14174_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
     .Y(_03716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14894_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
-    .Y(_03717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14895_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
-    .Y(_03718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14896_ (.A1(_03717_),
-    .A2(_03293_),
-    .B1(_03718_),
-    .B2(_03298_),
-    .X(_03719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14897_ (.A1(_03715_),
-    .A2(_03286_),
+ sky130_fd_sc_hd__o22a_1 _14175_ (.A1(_03715_),
+    .A2(_03555_),
     .B1(_03716_),
-    .B2(_03289_),
-    .C1(_03719_),
-    .X(_03720_),
+    .B2(_03557_),
+    .X(_03717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14898_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
+ sky130_fd_sc_hd__o221a_1 _14176_ (.A1(_03713_),
+    .A2(_03551_),
+    .B1(_03714_),
+    .B2(_03553_),
+    .C1(_03717_),
+    .X(_03718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14177_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
+    .Y(_03719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14178_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
+    .Y(_03720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14179_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
     .Y(_03721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14899_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
+ sky130_fd_sc_hd__inv_2 _14180_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
     .Y(_03722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14900_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
-    .Y(_03723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14901_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
-    .Y(_03724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14902_ (.A1(_03723_),
-    .A2(_03311_),
-    .B1(_03724_),
-    .B2(_03316_),
-    .X(_03725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14903_ (.A1(_03721_),
-    .A2(_03304_),
+ sky130_fd_sc_hd__o22a_1 _14181_ (.A1(_03721_),
+    .A2(_03565_),
     .B1(_03722_),
-    .B2(_03307_),
-    .C1(_03725_),
-    .X(_03726_),
+    .B2(_03567_),
+    .X(_03723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14904_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
+ sky130_fd_sc_hd__o221a_1 _14182_ (.A1(_03719_),
+    .A2(_03561_),
+    .B1(_03720_),
+    .B2(_03563_),
+    .C1(_03723_),
+    .X(_03724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14183_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
+    .Y(_03725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14184_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
+    .Y(_03726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14185_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
     .Y(_03727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14905_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
+ sky130_fd_sc_hd__inv_2 _14186_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
     .Y(_03728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14906_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
-    .Y(_03729_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14907_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
-    .Y(_03730_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14908_ (.A1(_03729_),
-    .A2(_03331_),
-    .B1(_03730_),
-    .B2(_03334_),
-    .X(_03731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14909_ (.A1(_03727_),
-    .A2(_03322_),
+ sky130_fd_sc_hd__o22a_1 _14187_ (.A1(_03727_),
+    .A2(_03575_),
     .B1(_03728_),
-    .B2(_03326_),
-    .C1(_03731_),
-    .X(_03732_),
+    .B2(_03577_),
+    .X(_03729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _14910_ (.A(_03714_),
-    .B(_03720_),
-    .C(_03726_),
-    .D(_03732_),
-    .X(_03733_),
+ sky130_fd_sc_hd__o221a_1 _14188_ (.A1(_03725_),
+    .A2(_03571_),
+    .B1(_03726_),
+    .B2(_03573_),
+    .C1(_03729_),
+    .X(_03730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14911_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
+ sky130_fd_sc_hd__inv_2 _14189_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
+    .Y(_03731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14190_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
+    .Y(_03732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14191_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
+    .Y(_03733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14192_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
     .Y(_03734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14912_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
-    .Y(_03735_),
+ sky130_fd_sc_hd__o22a_1 _14193_ (.A1(_03733_),
+    .A2(_03585_),
+    .B1(_03734_),
+    .B2(_03587_),
+    .X(_03735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14913_ (.A(_06645_),
+ sky130_fd_sc_hd__o221a_1 _14194_ (.A1(_03731_),
+    .A2(_03581_),
+    .B1(_03732_),
+    .B2(_03583_),
+    .C1(_03735_),
     .X(_03736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14914_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
-    .Y(_03737_),
+ sky130_fd_sc_hd__and4_1 _14195_ (.A(_03718_),
+    .B(_03724_),
+    .C(_03730_),
+    .D(_03736_),
+    .X(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14915_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
+ sky130_fd_sc_hd__inv_2 _14196_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
     .Y(_03738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14916_ (.A1(_03737_),
-    .A2(_03346_),
-    .B1(_03738_),
-    .B2(_03350_),
-    .X(_03739_),
+ sky130_fd_sc_hd__inv_2 _14197_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
+    .Y(_03739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14917_ (.A1(_03734_),
-    .A2(_03341_),
-    .B1(_03735_),
-    .B2(_03736_),
-    .C1(_03739_),
-    .X(_03740_),
+ sky130_fd_sc_hd__inv_2 _14198_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
+    .Y(_03740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14918_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
+ sky130_fd_sc_hd__inv_2 _14199_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
     .Y(_03741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14919_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
-    .Y(_03742_),
+ sky130_fd_sc_hd__o22a_1 _14200_ (.A1(_03740_),
+    .A2(_03595_),
+    .B1(_03741_),
+    .B2(_03597_),
+    .X(_03742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14920_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
-    .Y(_03743_),
+ sky130_fd_sc_hd__o221a_1 _14201_ (.A1(_03738_),
+    .A2(_03592_),
+    .B1(_03739_),
+    .B2(_03476_),
+    .C1(_03742_),
+    .X(_03743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14921_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
+ sky130_fd_sc_hd__inv_2 _14202_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
     .Y(_03744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14922_ (.A1(_03743_),
-    .A2(_03361_),
-    .B1(_03744_),
-    .B2(_03365_),
-    .X(_03745_),
+ sky130_fd_sc_hd__inv_2 _14203_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
+    .Y(_03745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14923_ (.A1(_03741_),
-    .A2(_03355_),
-    .B1(_03742_),
-    .B2(_03358_),
-    .C1(_03745_),
-    .X(_03746_),
+ sky130_fd_sc_hd__inv_2 _14204_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
+    .Y(_03746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14924_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
+ sky130_fd_sc_hd__inv_2 _14205_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
     .Y(_03747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14925_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
-    .Y(_03748_),
+ sky130_fd_sc_hd__o22a_1 _14206_ (.A1(_03746_),
+    .A2(_03605_),
+    .B1(_03747_),
+    .B2(_03607_),
+    .X(_03748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14926_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
-    .Y(_03749_),
+ sky130_fd_sc_hd__o221a_1 _14207_ (.A1(_03744_),
+    .A2(_03601_),
+    .B1(_03745_),
+    .B2(_03603_),
+    .C1(_03748_),
+    .X(_03749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14927_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
+ sky130_fd_sc_hd__inv_2 _14208_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
     .Y(_03750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14928_ (.A1(_03749_),
-    .A2(_03376_),
-    .B1(_03750_),
-    .B2(_03379_),
-    .X(_03751_),
+ sky130_fd_sc_hd__inv_2 _14209_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
+    .Y(_03751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14929_ (.A1(_03747_),
-    .A2(_03370_),
-    .B1(_03748_),
-    .B2(_03373_),
-    .C1(_03751_),
-    .X(_03752_),
+ sky130_fd_sc_hd__inv_2 _14210_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
+    .Y(_03752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14930_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
+ sky130_fd_sc_hd__inv_2 _14211_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
     .Y(_03753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14931_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
-    .Y(_03754_),
+ sky130_fd_sc_hd__o22a_1 _14212_ (.A1(_03752_),
+    .A2(_03615_),
+    .B1(_03753_),
+    .B2(_03617_),
+    .X(_03754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14932_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
-    .Y(_03755_),
+ sky130_fd_sc_hd__o221a_1 _14213_ (.A1(_03750_),
+    .A2(_03611_),
+    .B1(_03751_),
+    .B2(_03613_),
+    .C1(_03754_),
+    .X(_03755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14933_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
+ sky130_fd_sc_hd__inv_2 _14214_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
     .Y(_03756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14934_ (.A1(_03755_),
-    .A2(_03391_),
-    .B1(_03756_),
-    .B2(_03394_),
-    .X(_03757_),
+ sky130_fd_sc_hd__inv_2 _14215_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
+    .Y(_03757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14935_ (.A1(_03753_),
-    .A2(_03385_),
-    .B1(_03754_),
-    .B2(_03388_),
-    .C1(_03757_),
-    .X(_03758_),
+ sky130_fd_sc_hd__inv_2 _14216_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
+    .Y(_03758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14936_ (.A(_03740_),
-    .B(_03746_),
-    .C(_03752_),
-    .D(_03758_),
-    .X(_03759_),
+ sky130_fd_sc_hd__inv_2 _14217_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
+    .Y(_03759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14937_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
-    .Y(_03760_),
+ sky130_fd_sc_hd__o22a_1 _14218_ (.A1(_03758_),
+    .A2(_03625_),
+    .B1(_03759_),
+    .B2(_03627_),
+    .X(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14938_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
-    .Y(_03761_),
+ sky130_fd_sc_hd__o221a_1 _14219_ (.A1(_03756_),
+    .A2(_03621_),
+    .B1(_03757_),
+    .B2(_03623_),
+    .C1(_03760_),
+    .X(_03761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14939_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
-    .Y(_03762_),
+ sky130_fd_sc_hd__and4_1 _14220_ (.A(_03743_),
+    .B(_03749_),
+    .C(_03755_),
+    .D(_03761_),
+    .X(_03762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14940_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
+ sky130_fd_sc_hd__inv_2 _14221_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
     .Y(_03763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14941_ (.A1(_03762_),
-    .A2(_03407_),
-    .B1(_03763_),
-    .B2(_03410_),
-    .X(_03764_),
+ sky130_fd_sc_hd__inv_2 _14222_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
+    .Y(_03764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14942_ (.A1(_03760_),
-    .A2(_03401_),
-    .B1(_03761_),
-    .B2(_03404_),
-    .C1(_03764_),
-    .X(_03765_),
+ sky130_fd_sc_hd__inv_2 _14223_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
+    .Y(_03765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14943_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
+ sky130_fd_sc_hd__inv_2 _14224_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
     .Y(_03766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14944_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
-    .Y(_03767_),
+ sky130_fd_sc_hd__o22a_1 _14225_ (.A1(_03765_),
+    .A2(_03636_),
+    .B1(_03766_),
+    .B2(_03638_),
+    .X(_03767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14945_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
-    .Y(_03768_),
+ sky130_fd_sc_hd__o221a_1 _14226_ (.A1(_03763_),
+    .A2(_03632_),
+    .B1(_03764_),
+    .B2(_03634_),
+    .C1(_03767_),
+    .X(_03768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14946_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
+ sky130_fd_sc_hd__inv_2 _14227_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
     .Y(_03769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14947_ (.A1(_03768_),
-    .A2(_03423_),
-    .B1(_03769_),
-    .B2(_03426_),
-    .X(_03770_),
+ sky130_fd_sc_hd__inv_2 _14228_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
+    .Y(_03770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14948_ (.A1(_03766_),
-    .A2(_03416_),
-    .B1(_03767_),
-    .B2(_03420_),
-    .C1(_03770_),
-    .X(_03771_),
+ sky130_fd_sc_hd__inv_2 _14229_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
+    .Y(_03771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14949_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
+ sky130_fd_sc_hd__inv_2 _14230_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
     .Y(_03772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14950_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
-    .Y(_03773_),
+ sky130_fd_sc_hd__o22a_1 _14231_ (.A1(_03771_),
+    .A2(_03646_),
+    .B1(_03772_),
+    .B2(_03648_),
+    .X(_03773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _14951_ (.A(_03435_),
-    .B(_03436_),
-    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
+ sky130_fd_sc_hd__o221a_1 _14232_ (.A1(_03769_),
+    .A2(_03642_),
+    .B1(_03770_),
+    .B2(_03644_),
+    .C1(_03773_),
     .X(_03774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14952_ (.A1(_03772_),
-    .A2(_03431_),
-    .B1(_03773_),
-    .B2(_03434_),
-    .C1(_03774_),
-    .X(_03775_),
+ sky130_fd_sc_hd__inv_2 _14233_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
+    .Y(_03775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14953_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
+ sky130_fd_sc_hd__inv_2 _14234_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
     .Y(_03776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14954_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
-    .Y(_03777_),
+ sky130_fd_sc_hd__or3b_1 _14235_ (.A(_03655_),
+    .B(_03656_),
+    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
+    .X(_03777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14955_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
-    .Y(_03778_),
+ sky130_fd_sc_hd__o221a_1 _14236_ (.A1(_03775_),
+    .A2(_03652_),
+    .B1(_03776_),
+    .B2(_03654_),
+    .C1(_03777_),
+    .X(_03778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14956_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
+ sky130_fd_sc_hd__inv_2 _14237_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
     .Y(_03779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14957_ (.A1(_03778_),
-    .A2(_03447_),
-    .B1(_03779_),
-    .B2(_03450_),
-    .X(_03780_),
+ sky130_fd_sc_hd__inv_2 _14238_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
+    .Y(_03780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14958_ (.A1(_03776_),
-    .A2(_03441_),
-    .B1(_03777_),
-    .B2(_03444_),
-    .C1(_03780_),
-    .X(_03781_),
+ sky130_fd_sc_hd__inv_2 _14239_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
+    .Y(_03781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _14959_ (.A(_03765_),
-    .B(_03771_),
-    .C(_03775_),
-    .D(_03781_),
-    .X(_03782_),
+ sky130_fd_sc_hd__inv_2 _14240_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
+    .Y(_03782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14960_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
-    .Y(_03783_),
+ sky130_fd_sc_hd__o22a_1 _14241_ (.A1(_03781_),
+    .A2(_03664_),
+    .B1(_03782_),
+    .B2(_03666_),
+    .X(_03783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14961_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
-    .Y(_03784_),
+ sky130_fd_sc_hd__o221a_1 _14242_ (.A1(_03779_),
+    .A2(_03660_),
+    .B1(_03780_),
+    .B2(_03662_),
+    .C1(_03783_),
+    .X(_03784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14962_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
-    .Y(_03785_),
+ sky130_fd_sc_hd__and4_1 _14243_ (.A(_03768_),
+    .B(_03774_),
+    .C(_03778_),
+    .D(_03784_),
+    .X(_03785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14963_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
+ sky130_fd_sc_hd__inv_2 _14244_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
     .Y(_03786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14964_ (.A1(_03785_),
-    .A2(_03462_),
-    .B1(_03786_),
-    .B2(_03465_),
-    .X(_03787_),
+ sky130_fd_sc_hd__inv_2 _14245_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
+    .Y(_03787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14965_ (.A1(_03783_),
-    .A2(_03456_),
-    .B1(_03784_),
-    .B2(_03459_),
-    .C1(_03787_),
-    .X(_03788_),
+ sky130_fd_sc_hd__inv_2 _14246_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
+    .Y(_03788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14966_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
+ sky130_fd_sc_hd__inv_2 _14247_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
     .Y(_03789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14967_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
-    .Y(_03790_),
+ sky130_fd_sc_hd__o22a_1 _14248_ (.A1(_03788_),
+    .A2(_03675_),
+    .B1(_03789_),
+    .B2(_03677_),
+    .X(_03790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14968_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
-    .Y(_03791_),
+ sky130_fd_sc_hd__o221a_1 _14249_ (.A1(_03786_),
+    .A2(_03671_),
+    .B1(_03787_),
+    .B2(_03673_),
+    .C1(_03790_),
+    .X(_03791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14969_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
+ sky130_fd_sc_hd__inv_2 _14250_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
     .Y(_03792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14970_ (.A1(_03791_),
-    .A2(_03476_),
-    .B1(_03792_),
-    .B2(_03479_),
-    .X(_03793_),
+ sky130_fd_sc_hd__inv_2 _14251_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
+    .Y(_03793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14971_ (.A1(_03789_),
-    .A2(_03470_),
-    .B1(_03790_),
-    .B2(_03473_),
-    .C1(_03793_),
-    .X(_03794_),
+ sky130_fd_sc_hd__inv_2 _14252_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
+    .Y(_03794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14972_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
+ sky130_fd_sc_hd__inv_2 _14253_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
     .Y(_03795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14973_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
-    .Y(_03796_),
+ sky130_fd_sc_hd__o22a_1 _14254_ (.A1(_03794_),
+    .A2(_03685_),
+    .B1(_03795_),
+    .B2(_03687_),
+    .X(_03796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14974_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
-    .Y(_03797_),
+ sky130_fd_sc_hd__o221a_1 _14255_ (.A1(_03792_),
+    .A2(_03681_),
+    .B1(_03793_),
+    .B2(_03683_),
+    .C1(_03796_),
+    .X(_03797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14975_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
+ sky130_fd_sc_hd__inv_2 _14256_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
     .Y(_03798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14976_ (.A1(_03797_),
-    .A2(_03490_),
-    .B1(_03798_),
-    .B2(_03493_),
-    .X(_03799_),
+ sky130_fd_sc_hd__inv_2 _14257_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
+    .Y(_03799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14977_ (.A1(_03795_),
-    .A2(_03484_),
-    .B1(_03796_),
-    .B2(_03487_),
-    .C1(_03799_),
-    .X(_03800_),
+ sky130_fd_sc_hd__inv_2 _14258_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
+    .Y(_03800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14978_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
+ sky130_fd_sc_hd__inv_2 _14259_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
     .Y(_03801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14979_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
-    .Y(_03802_),
+ sky130_fd_sc_hd__o22a_1 _14260_ (.A1(_03800_),
+    .A2(_03695_),
+    .B1(_03801_),
+    .B2(_03697_),
+    .X(_03802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14980_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
-    .Y(_03803_),
+ sky130_fd_sc_hd__o221a_1 _14261_ (.A1(_03798_),
+    .A2(_03691_),
+    .B1(_03799_),
+    .B2(_03693_),
+    .C1(_03802_),
+    .X(_03803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14981_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
+ sky130_fd_sc_hd__inv_2 _14262_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
     .Y(_03804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _14982_ (.A1(_03803_),
-    .A2(_03504_),
-    .B1(_03804_),
-    .B2(_03507_),
-    .X(_03805_),
+ sky130_fd_sc_hd__inv_2 _14263_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
+    .Y(_03805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _14983_ (.A1(_03801_),
-    .A2(_03498_),
-    .B1(_03802_),
-    .B2(_03501_),
-    .C1(_03805_),
-    .X(_03806_),
+ sky130_fd_sc_hd__inv_2 _14264_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
+    .Y(_03806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14984_ (.A(_03788_),
-    .B(_03794_),
-    .C(_03800_),
-    .D(_03806_),
-    .X(_03807_),
+ sky130_fd_sc_hd__inv_2 _14265_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
+    .Y(_03807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _14985_ (.A(_03733_),
-    .B(_03759_),
-    .C(_03782_),
-    .D(_03807_),
-    .X(_00517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _14986_ (.A(_00518_),
-    .B(_02988_),
-    .Y(_00519_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14987_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
-    .Y(_00528_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14988_ (.A(_06512_),
+ sky130_fd_sc_hd__o22a_1 _14266_ (.A1(_03806_),
+    .A2(_03705_),
+    .B1(_03807_),
+    .B2(_03707_),
     .X(_03808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _14989_ (.A(_03808_),
-    .B(_06047_),
-    .X(_00529_),
+ sky130_fd_sc_hd__o221a_1 _14267_ (.A1(_03804_),
+    .A2(_03701_),
+    .B1(_03805_),
+    .B2(_03703_),
+    .C1(_03808_),
+    .X(_03809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14990_ (.A(\u_usb_host.u_core.u_sie.token_q[3] ),
-    .Y(_00531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14991_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
-    .Y(_00532_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14992_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
-    .Y(_03809_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14993_ (.A(_03260_),
+ sky130_fd_sc_hd__and4_2 _14268_ (.A(_03791_),
+    .B(_03797_),
+    .C(_03803_),
+    .D(_03809_),
     .X(_03810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14994_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
-    .Y(_03811_),
+ sky130_fd_sc_hd__and4_1 _14269_ (.A(_03737_),
+    .B(_03762_),
+    .C(_03785_),
+    .D(_03810_),
+    .X(_03811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _14995_ (.A(_03265_),
+ sky130_fd_sc_hd__clkbuf_1 _14270_ (.A(_03811_),
+    .X(_00547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14271_ (.A(_00548_),
+    .B(_03347_),
+    .Y(_00549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14272_ (.A(\u_usb_host.u_core.sof_transfer_q ),
+    .B(_05734_),
     .X(_03812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14996_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
+ sky130_fd_sc_hd__clkbuf_1 _14273_ (.A(_03812_),
+    .X(_00558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14274_ (.A(\u_usb_host.u_core.u_sie.token_q[1] ),
+    .Y(_00560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14275_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
+    .Y(_00561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14276_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
     .Y(_03813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14997_ (.A(_03272_),
-    .X(_03814_),
+ sky130_fd_sc_hd__inv_2 _14277_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
+    .Y(_03814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _14998_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
+ sky130_fd_sc_hd__inv_2 _14278_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
     .Y(_03815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _14999_ (.A(_03276_),
-    .X(_03816_),
+ sky130_fd_sc_hd__inv_2 _14279_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
+    .Y(_03816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _15000_ (.A1(_03813_),
-    .A2(_03814_),
-    .B1(_03815_),
-    .B2(_03816_),
+ sky130_fd_sc_hd__o22a_1 _14280_ (.A1(_03815_),
+    .A2(_03555_),
+    .B1(_03816_),
+    .B2(_03557_),
     .X(_03817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15001_ (.A1(_03809_),
-    .A2(_03810_),
-    .B1(_03811_),
-    .B2(_03812_),
+ sky130_fd_sc_hd__o221a_1 _14281_ (.A1(_03813_),
+    .A2(_03551_),
+    .B1(_03814_),
+    .B2(_03553_),
     .C1(_03817_),
     .X(_03818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15002_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
+ sky130_fd_sc_hd__inv_2 _14282_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
     .Y(_03819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15003_ (.A(_03285_),
-    .X(_03820_),
+ sky130_fd_sc_hd__inv_2 _14283_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
+    .Y(_03820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15004_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
+ sky130_fd_sc_hd__inv_2 _14284_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
     .Y(_03821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15005_ (.A(_03288_),
-    .X(_03822_),
+ sky130_fd_sc_hd__inv_2 _14285_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
+    .Y(_03822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15006_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
-    .Y(_03823_),
+ sky130_fd_sc_hd__o22a_1 _14286_ (.A1(_03821_),
+    .A2(_03565_),
+    .B1(_03822_),
+    .B2(_03567_),
+    .X(_03823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15007_ (.A(_03292_),
+ sky130_fd_sc_hd__o221a_1 _14287_ (.A1(_03819_),
+    .A2(_03561_),
+    .B1(_03820_),
+    .B2(_03563_),
+    .C1(_03823_),
     .X(_03824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15008_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
+ sky130_fd_sc_hd__inv_2 _14288_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
     .Y(_03825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15009_ (.A(_03297_),
-    .X(_03826_),
+ sky130_fd_sc_hd__inv_2 _14289_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
+    .Y(_03826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15010_ (.A1(_03823_),
-    .A2(_03824_),
-    .B1(_03825_),
-    .B2(_03826_),
-    .X(_03827_),
+ sky130_fd_sc_hd__inv_2 _14290_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
+    .Y(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15011_ (.A1(_03819_),
-    .A2(_03820_),
-    .B1(_03821_),
-    .B2(_03822_),
-    .C1(_03827_),
-    .X(_03828_),
+ sky130_fd_sc_hd__inv_2 _14291_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
+    .Y(_03828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15012_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
-    .Y(_03829_),
+ sky130_fd_sc_hd__o22a_1 _14292_ (.A1(_03827_),
+    .A2(_03575_),
+    .B1(_03828_),
+    .B2(_03577_),
+    .X(_03829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15013_ (.A(_03303_),
+ sky130_fd_sc_hd__o221a_1 _14293_ (.A1(_03825_),
+    .A2(_03571_),
+    .B1(_03826_),
+    .B2(_03573_),
+    .C1(_03829_),
     .X(_03830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15014_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
+ sky130_fd_sc_hd__inv_2 _14294_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
     .Y(_03831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15015_ (.A(_03306_),
-    .X(_03832_),
+ sky130_fd_sc_hd__inv_2 _14295_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
+    .Y(_03832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15016_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
+ sky130_fd_sc_hd__inv_2 _14296_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
     .Y(_03833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15017_ (.A(_03310_),
-    .X(_03834_),
+ sky130_fd_sc_hd__inv_2 _14297_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
+    .Y(_03834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15018_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
-    .Y(_03835_),
+ sky130_fd_sc_hd__o22a_1 _14298_ (.A1(_03833_),
+    .A2(_03585_),
+    .B1(_03834_),
+    .B2(_03587_),
+    .X(_03835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15019_ (.A(_03315_),
+ sky130_fd_sc_hd__o221a_1 _14299_ (.A1(_03831_),
+    .A2(_03581_),
+    .B1(_03832_),
+    .B2(_03583_),
+    .C1(_03835_),
     .X(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15020_ (.A1(_03833_),
-    .A2(_03834_),
-    .B1(_03835_),
-    .B2(_03836_),
+ sky130_fd_sc_hd__and4_1 _14300_ (.A(_03818_),
+    .B(_03824_),
+    .C(_03830_),
+    .D(_03836_),
     .X(_03837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15021_ (.A1(_03829_),
-    .A2(_03830_),
-    .B1(_03831_),
-    .B2(_03832_),
-    .C1(_03837_),
-    .X(_03838_),
+ sky130_fd_sc_hd__inv_2 _14301_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
+    .Y(_03838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15022_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
+ sky130_fd_sc_hd__inv_2 _14302_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
     .Y(_03839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15023_ (.A(_03321_),
-    .X(_03840_),
+ sky130_fd_sc_hd__inv_2 _14303_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
+    .Y(_03840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15024_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
+ sky130_fd_sc_hd__inv_2 _14304_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
     .Y(_03841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15025_ (.A(_03325_),
+ sky130_fd_sc_hd__o22a_1 _14305_ (.A1(_03840_),
+    .A2(_03595_),
+    .B1(_03841_),
+    .B2(_03597_),
     .X(_03842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15026_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
-    .Y(_03843_),
+ sky130_fd_sc_hd__o221a_1 _14306_ (.A1(_03838_),
+    .A2(_03592_),
+    .B1(_03839_),
+    .B2(_03476_),
+    .C1(_03842_),
+    .X(_03843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15027_ (.A(_03330_),
-    .X(_03844_),
+ sky130_fd_sc_hd__inv_2 _14307_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
+    .Y(_03844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15028_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
+ sky130_fd_sc_hd__inv_2 _14308_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
     .Y(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15029_ (.A(_03333_),
-    .X(_03846_),
+ sky130_fd_sc_hd__inv_2 _14309_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
+    .Y(_03846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15030_ (.A1(_03843_),
-    .A2(_03844_),
-    .B1(_03845_),
-    .B2(_03846_),
-    .X(_03847_),
+ sky130_fd_sc_hd__inv_2 _14310_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
+    .Y(_03847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15031_ (.A1(_03839_),
-    .A2(_03840_),
-    .B1(_03841_),
-    .B2(_03842_),
-    .C1(_03847_),
+ sky130_fd_sc_hd__o22a_1 _14311_ (.A1(_03846_),
+    .A2(_03605_),
+    .B1(_03847_),
+    .B2(_03607_),
     .X(_03848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15032_ (.A(_03818_),
-    .B(_03828_),
-    .C(_03838_),
-    .D(_03848_),
+ sky130_fd_sc_hd__o221a_1 _14312_ (.A1(_03844_),
+    .A2(_03601_),
+    .B1(_03845_),
+    .B2(_03603_),
+    .C1(_03848_),
     .X(_03849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15033_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
+ sky130_fd_sc_hd__inv_2 _14313_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
     .Y(_03850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15034_ (.A(_03340_),
-    .X(_03851_),
+ sky130_fd_sc_hd__inv_2 _14314_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
+    .Y(_03851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15035_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
+ sky130_fd_sc_hd__inv_2 _14315_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
     .Y(_03852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15036_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
+ sky130_fd_sc_hd__inv_2 _14316_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
     .Y(_03853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15037_ (.A(_03345_),
+ sky130_fd_sc_hd__o22a_1 _14317_ (.A1(_03852_),
+    .A2(_03615_),
+    .B1(_03853_),
+    .B2(_03617_),
     .X(_03854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15038_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
-    .Y(_03855_),
+ sky130_fd_sc_hd__o221a_1 _14318_ (.A1(_03850_),
+    .A2(_03611_),
+    .B1(_03851_),
+    .B2(_03613_),
+    .C1(_03854_),
+    .X(_03855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15039_ (.A(_03349_),
-    .X(_03856_),
+ sky130_fd_sc_hd__inv_2 _14319_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
+    .Y(_03856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15040_ (.A1(_03853_),
-    .A2(_03854_),
-    .B1(_03855_),
-    .B2(_03856_),
-    .X(_03857_),
+ sky130_fd_sc_hd__inv_2 _14320_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
+    .Y(_03857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15041_ (.A1(_03850_),
-    .A2(_03851_),
-    .B1(_03852_),
-    .B2(_03736_),
-    .C1(_03857_),
-    .X(_03858_),
+ sky130_fd_sc_hd__inv_2 _14321_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ),
+    .Y(_03858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15042_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
+ sky130_fd_sc_hd__inv_2 _14322_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
     .Y(_03859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15043_ (.A(_03354_),
+ sky130_fd_sc_hd__o22a_1 _14323_ (.A1(_03858_),
+    .A2(_03625_),
+    .B1(_03859_),
+    .B2(_03627_),
     .X(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15044_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
-    .Y(_03861_),
+ sky130_fd_sc_hd__o221a_1 _14324_ (.A1(_03856_),
+    .A2(_03621_),
+    .B1(_03857_),
+    .B2(_03623_),
+    .C1(_03860_),
+    .X(_03861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15045_ (.A(_03357_),
+ sky130_fd_sc_hd__and4_1 _14325_ (.A(_03843_),
+    .B(_03849_),
+    .C(_03855_),
+    .D(_03861_),
     .X(_03862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15046_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
+ sky130_fd_sc_hd__inv_2 _14326_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
     .Y(_03863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15047_ (.A(_03360_),
-    .X(_03864_),
+ sky130_fd_sc_hd__inv_2 _14327_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
+    .Y(_03864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15048_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
+ sky130_fd_sc_hd__inv_2 _14328_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
     .Y(_03865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15049_ (.A(_03364_),
-    .X(_03866_),
+ sky130_fd_sc_hd__inv_2 _14329_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
+    .Y(_03866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15050_ (.A1(_03863_),
-    .A2(_03864_),
-    .B1(_03865_),
-    .B2(_03866_),
+ sky130_fd_sc_hd__o22a_1 _14330_ (.A1(_03865_),
+    .A2(_03636_),
+    .B1(_03866_),
+    .B2(_03638_),
     .X(_03867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15051_ (.A1(_03859_),
-    .A2(_03860_),
-    .B1(_03861_),
-    .B2(_03862_),
+ sky130_fd_sc_hd__o221a_1 _14331_ (.A1(_03863_),
+    .A2(_03632_),
+    .B1(_03864_),
+    .B2(_03634_),
     .C1(_03867_),
     .X(_03868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15052_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
+ sky130_fd_sc_hd__inv_2 _14332_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
     .Y(_03869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15053_ (.A(_03369_),
-    .X(_03870_),
+ sky130_fd_sc_hd__inv_2 _14333_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
+    .Y(_03870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15054_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
+ sky130_fd_sc_hd__inv_2 _14334_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
     .Y(_03871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15055_ (.A(_03372_),
-    .X(_03872_),
+ sky130_fd_sc_hd__inv_2 _14335_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
+    .Y(_03872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15056_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
-    .Y(_03873_),
+ sky130_fd_sc_hd__o22a_1 _14336_ (.A1(_03871_),
+    .A2(_03646_),
+    .B1(_03872_),
+    .B2(_03648_),
+    .X(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15057_ (.A(_03375_),
+ sky130_fd_sc_hd__o221a_1 _14337_ (.A1(_03869_),
+    .A2(_03642_),
+    .B1(_03870_),
+    .B2(_03644_),
+    .C1(_03873_),
     .X(_03874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15058_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
+ sky130_fd_sc_hd__inv_2 _14338_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
     .Y(_03875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15059_ (.A(_03378_),
-    .X(_03876_),
+ sky130_fd_sc_hd__inv_2 _14339_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
+    .Y(_03876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15060_ (.A1(_03873_),
-    .A2(_03874_),
-    .B1(_03875_),
-    .B2(_03876_),
+ sky130_fd_sc_hd__or3b_1 _14340_ (.A(_03655_),
+    .B(_03656_),
+    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
     .X(_03877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15061_ (.A1(_03869_),
-    .A2(_03870_),
-    .B1(_03871_),
-    .B2(_03872_),
+ sky130_fd_sc_hd__o221a_1 _14341_ (.A1(_03875_),
+    .A2(_03652_),
+    .B1(_03876_),
+    .B2(_03654_),
     .C1(_03877_),
     .X(_03878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15062_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
+ sky130_fd_sc_hd__inv_2 _14342_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
     .Y(_03879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15063_ (.A(_03384_),
-    .X(_03880_),
+ sky130_fd_sc_hd__inv_2 _14343_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
+    .Y(_03880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15064_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
+ sky130_fd_sc_hd__inv_2 _14344_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
     .Y(_03881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15065_ (.A(_03387_),
-    .X(_03882_),
+ sky130_fd_sc_hd__inv_2 _14345_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
+    .Y(_03882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15066_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
-    .Y(_03883_),
+ sky130_fd_sc_hd__o22a_1 _14346_ (.A1(_03881_),
+    .A2(_03664_),
+    .B1(_03882_),
+    .B2(_03666_),
+    .X(_03883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15067_ (.A(_03390_),
+ sky130_fd_sc_hd__o221a_1 _14347_ (.A1(_03879_),
+    .A2(_03660_),
+    .B1(_03880_),
+    .B2(_03662_),
+    .C1(_03883_),
     .X(_03884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15068_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
-    .Y(_03885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15069_ (.A(_03393_),
-    .X(_03886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15070_ (.A1(_03883_),
-    .A2(_03884_),
-    .B1(_03885_),
-    .B2(_03886_),
-    .X(_03887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15071_ (.A1(_03879_),
-    .A2(_03880_),
-    .B1(_03881_),
-    .B2(_03882_),
-    .C1(_03887_),
-    .X(_03888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15072_ (.A(_03858_),
-    .B(_03868_),
+ sky130_fd_sc_hd__and4_1 _14348_ (.A(_03868_),
+    .B(_03874_),
     .C(_03878_),
-    .D(_03888_),
-    .X(_03889_),
+    .D(_03884_),
+    .X(_03885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15073_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
-    .Y(_03890_),
+ sky130_fd_sc_hd__inv_2 _14349_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
+    .Y(_03886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15074_ (.A(_03400_),
+ sky130_fd_sc_hd__inv_2 _14350_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
+    .Y(_03887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14351_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
+    .Y(_03888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14352_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
+    .Y(_03889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14353_ (.A1(_03888_),
+    .A2(_03675_),
+    .B1(_03889_),
+    .B2(_03677_),
+    .X(_03890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14354_ (.A1(_03886_),
+    .A2(_03671_),
+    .B1(_03887_),
+    .B2(_03673_),
+    .C1(_03890_),
     .X(_03891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15075_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
+ sky130_fd_sc_hd__inv_2 _14355_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
     .Y(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15076_ (.A(_03403_),
-    .X(_03893_),
+ sky130_fd_sc_hd__inv_2 _14356_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
+    .Y(_03893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15077_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
+ sky130_fd_sc_hd__inv_2 _14357_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
     .Y(_03894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15078_ (.A(_03406_),
-    .X(_03895_),
+ sky130_fd_sc_hd__inv_2 _14358_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
+    .Y(_03895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15079_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
-    .Y(_03896_),
+ sky130_fd_sc_hd__o22a_1 _14359_ (.A1(_03894_),
+    .A2(_03685_),
+    .B1(_03895_),
+    .B2(_03687_),
+    .X(_03896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15080_ (.A(_03409_),
+ sky130_fd_sc_hd__o221a_1 _14360_ (.A1(_03892_),
+    .A2(_03681_),
+    .B1(_03893_),
+    .B2(_03683_),
+    .C1(_03896_),
     .X(_03897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15081_ (.A1(_03894_),
-    .A2(_03895_),
-    .B1(_03896_),
-    .B2(_03897_),
-    .X(_03898_),
+ sky130_fd_sc_hd__inv_2 _14361_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
+    .Y(_03898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15082_ (.A1(_03890_),
-    .A2(_03891_),
-    .B1(_03892_),
-    .B2(_03893_),
-    .C1(_03898_),
-    .X(_03899_),
+ sky130_fd_sc_hd__inv_2 _14362_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
+    .Y(_03899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15083_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
+ sky130_fd_sc_hd__inv_2 _14363_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
     .Y(_03900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15084_ (.A(_03415_),
-    .X(_03901_),
+ sky130_fd_sc_hd__inv_2 _14364_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
+    .Y(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15085_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
-    .Y(_03902_),
+ sky130_fd_sc_hd__o22a_1 _14365_ (.A1(_03900_),
+    .A2(_03695_),
+    .B1(_03901_),
+    .B2(_03697_),
+    .X(_03902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15086_ (.A(_03419_),
+ sky130_fd_sc_hd__o221a_1 _14366_ (.A1(_03898_),
+    .A2(_03691_),
+    .B1(_03899_),
+    .B2(_03693_),
+    .C1(_03902_),
     .X(_03903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15087_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
+ sky130_fd_sc_hd__inv_2 _14367_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
     .Y(_03904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15088_ (.A(_03422_),
-    .X(_03905_),
+ sky130_fd_sc_hd__inv_2 _14368_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
+    .Y(_03905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15089_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
+ sky130_fd_sc_hd__inv_2 _14369_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
     .Y(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15090_ (.A(_03425_),
-    .X(_03907_),
+ sky130_fd_sc_hd__inv_2 _14370_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
+    .Y(_03907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _15091_ (.A1(_03904_),
-    .A2(_03905_),
-    .B1(_03906_),
-    .B2(_03907_),
+ sky130_fd_sc_hd__o22a_1 _14371_ (.A1(_03906_),
+    .A2(_03705_),
+    .B1(_03907_),
+    .B2(_03707_),
     .X(_03908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15092_ (.A1(_03900_),
-    .A2(_03901_),
-    .B1(_03902_),
-    .B2(_03903_),
+ sky130_fd_sc_hd__o221a_1 _14372_ (.A1(_03904_),
+    .A2(_03701_),
+    .B1(_03905_),
+    .B2(_03703_),
     .C1(_03908_),
     .X(_03909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15093_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
-    .Y(_03910_),
+ sky130_fd_sc_hd__and4_2 _14373_ (.A(_03891_),
+    .B(_03897_),
+    .C(_03903_),
+    .D(_03909_),
+    .X(_03910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15094_ (.A(_03430_),
+ sky130_fd_sc_hd__and4_1 _14374_ (.A(_03837_),
+    .B(_03862_),
+    .C(_03885_),
+    .D(_03910_),
     .X(_03911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15095_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
-    .Y(_03912_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15096_ (.A(_03433_),
-    .X(_03913_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15097_ (.A(_03282_),
-    .X(_03914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15098_ (.A(_03271_),
-    .X(_03915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _15099_ (.A(_03914_),
-    .B(_03915_),
-    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
-    .X(_03916_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15100_ (.A1(_03910_),
-    .A2(_03911_),
-    .B1(_03912_),
-    .B2(_03913_),
-    .C1(_03916_),
-    .X(_03917_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15101_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
-    .Y(_03918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15102_ (.A(_03440_),
-    .X(_03919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15103_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
-    .Y(_03920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15104_ (.A(_03443_),
-    .X(_03921_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15105_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
-    .Y(_03922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15106_ (.A(_03446_),
-    .X(_03923_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15107_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
-    .Y(_03924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15108_ (.A(_03449_),
-    .X(_03925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15109_ (.A1(_03922_),
-    .A2(_03923_),
-    .B1(_03924_),
-    .B2(_03925_),
-    .X(_03926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _15110_ (.A1(_03918_),
-    .A2(_03919_),
-    .B1(_03920_),
-    .B2(_03921_),
-    .C1(_03926_),
-    .X(_03927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15111_ (.A(_03899_),
-    .B(_03909_),
-    .C(_03917_),
-    .D(_03927_),
-    .X(_03928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15112_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
-    .Y(_03929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15113_ (.A(_03455_),
-    .X(_03930_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15114_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
-    .Y(_03931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15115_ (.A(_03458_),
-    .X(_03932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15116_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
-    .Y(_03933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15117_ (.A(_03461_),
-    .X(_03934_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15118_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
-    .Y(_03935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15119_ (.A(_03464_),
-    .X(_03936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15120_ (.A1(_03933_),
-    .A2(_03934_),
-    .B1(_03935_),
-    .B2(_03936_),
-    .X(_03937_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15121_ (.A1(_03929_),
-    .A2(_03930_),
-    .B1(_03931_),
-    .B2(_03932_),
-    .C1(_03937_),
-    .X(_03938_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15122_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
-    .Y(_03939_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15123_ (.A(_03469_),
-    .X(_03940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15124_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
-    .Y(_03941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15125_ (.A(_03472_),
-    .X(_03942_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15126_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
-    .Y(_03943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15127_ (.A(_03475_),
-    .X(_03944_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15128_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
-    .Y(_03945_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15129_ (.A(_03478_),
-    .X(_03946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _15130_ (.A1(_03943_),
-    .A2(_03944_),
-    .B1(_03945_),
-    .B2(_03946_),
-    .X(_03947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15131_ (.A1(_03939_),
-    .A2(_03940_),
-    .B1(_03941_),
-    .B2(_03942_),
-    .C1(_03947_),
-    .X(_03948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15132_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
-    .Y(_03949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15133_ (.A(_03483_),
-    .X(_03950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15134_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
-    .Y(_03951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15135_ (.A(_03486_),
-    .X(_03952_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15136_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
-    .Y(_03953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15137_ (.A(_03489_),
-    .X(_03954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15138_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
-    .Y(_03955_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15139_ (.A(_03492_),
-    .X(_03956_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15140_ (.A1(_03953_),
-    .A2(_03954_),
-    .B1(_03955_),
-    .B2(_03956_),
-    .X(_03957_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15141_ (.A1(_03949_),
-    .A2(_03950_),
-    .B1(_03951_),
-    .B2(_03952_),
-    .C1(_03957_),
-    .X(_03958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15142_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
-    .Y(_03959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15143_ (.A(_03497_),
-    .X(_03960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15144_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
-    .Y(_03961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15145_ (.A(_03500_),
-    .X(_03962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15146_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
-    .Y(_03963_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15147_ (.A(_03503_),
-    .X(_03964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15148_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
-    .Y(_03965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15149_ (.A(_03506_),
-    .X(_03966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15150_ (.A1(_03963_),
-    .A2(_03964_),
-    .B1(_03965_),
-    .B2(_03966_),
-    .X(_03967_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15151_ (.A1(_03959_),
-    .A2(_03960_),
-    .B1(_03961_),
-    .B2(_03962_),
-    .C1(_03967_),
-    .X(_03968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15152_ (.A(_03938_),
-    .B(_03948_),
-    .C(_03958_),
-    .D(_03968_),
-    .X(_03969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15153_ (.A(_03849_),
-    .B(_03889_),
-    .C(_03928_),
-    .D(_03969_),
-    .X(_00533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15154_ (.A1(_00534_),
-    .A2(_03511_),
-    .B1(_03610_),
-    .X(_00535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15155_ (.A(_03808_),
-    .B(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
-    .X(_00545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15156_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
-    .Y(_00546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15157_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
-    .Y(_03970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15158_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
-    .Y(_03971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15159_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
-    .Y(_03972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15160_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
-    .Y(_03973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _15161_ (.A1(_03972_),
-    .A2(_03814_),
-    .B1(_03973_),
-    .B2(_03816_),
-    .X(_03974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15162_ (.A1(_03970_),
-    .A2(_03810_),
-    .B1(_03971_),
-    .B2(_03812_),
-    .C1(_03974_),
-    .X(_03975_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15163_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
-    .Y(_03976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15164_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
-    .Y(_03977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15165_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
-    .Y(_03978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15166_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
-    .Y(_03979_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15167_ (.A1(_03978_),
-    .A2(_03824_),
-    .B1(_03979_),
-    .B2(_03826_),
-    .X(_03980_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15168_ (.A1(_03976_),
-    .A2(_03820_),
-    .B1(_03977_),
-    .B2(_03822_),
-    .C1(_03980_),
-    .X(_03981_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15169_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
-    .Y(_03982_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15170_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
-    .Y(_03983_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15171_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
-    .Y(_03984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15172_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
-    .Y(_03985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15173_ (.A1(_03984_),
-    .A2(_03834_),
-    .B1(_03985_),
-    .B2(_03836_),
-    .X(_03986_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15174_ (.A1(_03982_),
-    .A2(_03830_),
-    .B1(_03983_),
-    .B2(_03832_),
-    .C1(_03986_),
-    .X(_03987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15175_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
-    .Y(_03988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15176_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
-    .Y(_03989_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15177_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
-    .Y(_03990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15178_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
-    .Y(_03991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15179_ (.A1(_03990_),
-    .A2(_03844_),
-    .B1(_03991_),
-    .B2(_03846_),
-    .X(_03992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15180_ (.A1(_03988_),
-    .A2(_03840_),
-    .B1(_03989_),
-    .B2(_03842_),
-    .C1(_03992_),
-    .X(_03993_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _15181_ (.A(_03975_),
-    .B(_03981_),
-    .C(_03987_),
-    .D(_03993_),
-    .X(_03994_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15182_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
-    .Y(_03995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15183_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
-    .Y(_03996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15184_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
-    .Y(_03997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15185_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
-    .Y(_03998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15186_ (.A1(_03997_),
-    .A2(_03854_),
-    .B1(_03998_),
-    .B2(_03856_),
-    .X(_03999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15187_ (.A1(_03995_),
-    .A2(_03851_),
-    .B1(_03996_),
-    .B2(_03736_),
-    .C1(_03999_),
-    .X(_04000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15188_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
-    .Y(_04001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15189_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
-    .Y(_04002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15190_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
-    .Y(_04003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15191_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
-    .Y(_04004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15192_ (.A1(_04003_),
-    .A2(_03864_),
-    .B1(_04004_),
-    .B2(_03866_),
-    .X(_04005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15193_ (.A1(_04001_),
-    .A2(_03860_),
-    .B1(_04002_),
-    .B2(_03862_),
-    .C1(_04005_),
-    .X(_04006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15194_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
-    .Y(_04007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15195_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
-    .Y(_04008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15196_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
-    .Y(_04009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15197_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
-    .Y(_04010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15198_ (.A1(_04009_),
-    .A2(_03874_),
-    .B1(_04010_),
-    .B2(_03876_),
-    .X(_04011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15199_ (.A1(_04007_),
-    .A2(_03870_),
-    .B1(_04008_),
-    .B2(_03872_),
-    .C1(_04011_),
-    .X(_04012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15200_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
-    .Y(_04013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15201_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
-    .Y(_04014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15202_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
-    .Y(_04015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15203_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
-    .Y(_04016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15204_ (.A1(_04015_),
-    .A2(_03884_),
-    .B1(_04016_),
-    .B2(_03886_),
-    .X(_04017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15205_ (.A1(_04013_),
-    .A2(_03880_),
-    .B1(_04014_),
-    .B2(_03882_),
-    .C1(_04017_),
-    .X(_04018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15206_ (.A(_04000_),
-    .B(_04006_),
-    .C(_04012_),
-    .D(_04018_),
-    .X(_04019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15207_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
-    .Y(_04020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15208_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
-    .Y(_04021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15209_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
-    .Y(_04022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15210_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
-    .Y(_04023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15211_ (.A1(_04022_),
-    .A2(_03895_),
-    .B1(_04023_),
-    .B2(_03897_),
-    .X(_04024_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15212_ (.A1(_04020_),
-    .A2(_03891_),
-    .B1(_04021_),
-    .B2(_03893_),
-    .C1(_04024_),
-    .X(_04025_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15213_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
-    .Y(_04026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15214_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
-    .Y(_04027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15215_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
-    .Y(_04028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15216_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
-    .Y(_04029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _15217_ (.A1(_04028_),
-    .A2(_03905_),
-    .B1(_04029_),
-    .B2(_03907_),
-    .X(_04030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15218_ (.A1(_04026_),
-    .A2(_03901_),
-    .B1(_04027_),
-    .B2(_03903_),
-    .C1(_04030_),
-    .X(_04031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15219_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
-    .Y(_04032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15220_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
-    .Y(_04033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _15221_ (.A(_03914_),
-    .B(_03915_),
-    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
-    .X(_04034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15222_ (.A1(_04032_),
-    .A2(_03911_),
-    .B1(_04033_),
-    .B2(_03913_),
-    .C1(_04034_),
-    .X(_04035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15223_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
-    .Y(_04036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15224_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
-    .Y(_04037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15225_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
-    .Y(_04038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15226_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
-    .Y(_04039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15227_ (.A1(_04038_),
-    .A2(_03923_),
-    .B1(_04039_),
-    .B2(_03925_),
-    .X(_04040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15228_ (.A1(_04036_),
-    .A2(_03919_),
-    .B1(_04037_),
-    .B2(_03921_),
-    .C1(_04040_),
-    .X(_04041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15229_ (.A(_04025_),
-    .B(_04031_),
-    .C(_04035_),
-    .D(_04041_),
-    .X(_04042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15230_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
-    .Y(_04043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15231_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
-    .Y(_04044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15232_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
-    .Y(_04045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15233_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
-    .Y(_04046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15234_ (.A1(_04045_),
-    .A2(_03934_),
-    .B1(_04046_),
-    .B2(_03936_),
-    .X(_04047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15235_ (.A1(_04043_),
-    .A2(_03930_),
-    .B1(_04044_),
-    .B2(_03932_),
-    .C1(_04047_),
-    .X(_04048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15236_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
-    .Y(_04049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15237_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
-    .Y(_04050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15238_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
-    .Y(_04051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15239_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
-    .Y(_04052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _15240_ (.A1(_04051_),
-    .A2(_03944_),
-    .B1(_04052_),
-    .B2(_03946_),
-    .X(_04053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15241_ (.A1(_04049_),
-    .A2(_03940_),
-    .B1(_04050_),
-    .B2(_03942_),
-    .C1(_04053_),
-    .X(_04054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15242_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
-    .Y(_04055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15243_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
-    .Y(_04056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15244_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
-    .Y(_04057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15245_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
-    .Y(_04058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15246_ (.A1(_04057_),
-    .A2(_03954_),
-    .B1(_04058_),
-    .B2(_03956_),
-    .X(_04059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15247_ (.A1(_04055_),
-    .A2(_03950_),
-    .B1(_04056_),
-    .B2(_03952_),
-    .C1(_04059_),
-    .X(_04060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15248_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
-    .Y(_04061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15249_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
-    .Y(_04062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15250_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
-    .Y(_04063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15251_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
-    .Y(_04064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15252_ (.A1(_04063_),
-    .A2(_03964_),
-    .B1(_04064_),
-    .B2(_03966_),
-    .X(_04065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15253_ (.A1(_04061_),
-    .A2(_03960_),
-    .B1(_04062_),
-    .B2(_03962_),
-    .C1(_04065_),
-    .X(_04066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15254_ (.A(_04048_),
-    .B(_04054_),
-    .C(_04060_),
-    .D(_04066_),
-    .X(_04067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15255_ (.A(_03994_),
-    .B(_04019_),
-    .C(_04042_),
-    .D(_04067_),
-    .X(_00547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15256_ (.A(_00548_),
-    .B(_02988_),
-    .Y(_00549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15257_ (.A(_03808_),
-    .B(_06035_),
-    .X(_00558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15258_ (.A(\u_usb_host.u_core.u_sie.token_q[1] ),
-    .Y(_00560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15259_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
-    .Y(_00561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15260_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
-    .Y(_04068_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15261_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
-    .Y(_04069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15262_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
-    .Y(_04070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15263_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
-    .Y(_04071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _15264_ (.A1(_04070_),
-    .A2(_03814_),
-    .B1(_04071_),
-    .B2(_03816_),
-    .X(_04072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15265_ (.A1(_04068_),
-    .A2(_03810_),
-    .B1(_04069_),
-    .B2(_03812_),
-    .C1(_04072_),
-    .X(_04073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15266_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
-    .Y(_04074_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15267_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
-    .Y(_04075_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15268_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
-    .Y(_04076_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15269_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
-    .Y(_04077_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15270_ (.A1(_04076_),
-    .A2(_03824_),
-    .B1(_04077_),
-    .B2(_03826_),
-    .X(_04078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15271_ (.A1(_04074_),
-    .A2(_03820_),
-    .B1(_04075_),
-    .B2(_03822_),
-    .C1(_04078_),
-    .X(_04079_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15272_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
-    .Y(_04080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15273_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
-    .Y(_04081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15274_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
-    .Y(_04082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15275_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
-    .Y(_04083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15276_ (.A1(_04082_),
-    .A2(_03834_),
-    .B1(_04083_),
-    .B2(_03836_),
-    .X(_04084_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15277_ (.A1(_04080_),
-    .A2(_03830_),
-    .B1(_04081_),
-    .B2(_03832_),
-    .C1(_04084_),
-    .X(_04085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15278_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
-    .Y(_04086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15279_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
-    .Y(_04087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15280_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
-    .Y(_04088_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15281_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
-    .Y(_04089_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15282_ (.A1(_04088_),
-    .A2(_03844_),
-    .B1(_04089_),
-    .B2(_03846_),
-    .X(_04090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15283_ (.A1(_04086_),
-    .A2(_03840_),
-    .B1(_04087_),
-    .B2(_03842_),
-    .C1(_04090_),
-    .X(_04091_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15284_ (.A(_04073_),
-    .B(_04079_),
-    .C(_04085_),
-    .D(_04091_),
-    .X(_04092_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15285_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
-    .Y(_04093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15286_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
-    .Y(_04094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15287_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
-    .Y(_04095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15288_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
-    .Y(_04096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15289_ (.A1(_04095_),
-    .A2(_03854_),
-    .B1(_04096_),
-    .B2(_03856_),
-    .X(_04097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15290_ (.A1(_04093_),
-    .A2(_03851_),
-    .B1(_04094_),
-    .B2(_03736_),
-    .C1(_04097_),
-    .X(_04098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15291_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
-    .Y(_04099_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15292_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
-    .Y(_04100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15293_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
-    .Y(_04101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15294_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
-    .Y(_04102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15295_ (.A1(_04101_),
-    .A2(_03864_),
-    .B1(_04102_),
-    .B2(_03866_),
-    .X(_04103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15296_ (.A1(_04099_),
-    .A2(_03860_),
-    .B1(_04100_),
-    .B2(_03862_),
-    .C1(_04103_),
-    .X(_04104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15297_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
-    .Y(_04105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15298_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
-    .Y(_04106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15299_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
-    .Y(_04107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15300_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
-    .Y(_04108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15301_ (.A1(_04107_),
-    .A2(_03874_),
-    .B1(_04108_),
-    .B2(_03876_),
-    .X(_04109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15302_ (.A1(_04105_),
-    .A2(_03870_),
-    .B1(_04106_),
-    .B2(_03872_),
-    .C1(_04109_),
-    .X(_04110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15303_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
-    .Y(_04111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15304_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
-    .Y(_04112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15305_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ),
-    .Y(_04113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15306_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
-    .Y(_04114_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15307_ (.A1(_04113_),
-    .A2(_03884_),
-    .B1(_04114_),
-    .B2(_03886_),
-    .X(_04115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15308_ (.A1(_04111_),
-    .A2(_03880_),
-    .B1(_04112_),
-    .B2(_03882_),
-    .C1(_04115_),
-    .X(_04116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15309_ (.A(_04098_),
-    .B(_04104_),
-    .C(_04110_),
-    .D(_04116_),
-    .X(_04117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15310_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
-    .Y(_04118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15311_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
-    .Y(_04119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15312_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
-    .Y(_04120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15313_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
-    .Y(_04121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15314_ (.A1(_04120_),
-    .A2(_03895_),
-    .B1(_04121_),
-    .B2(_03897_),
-    .X(_04122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15315_ (.A1(_04118_),
-    .A2(_03891_),
-    .B1(_04119_),
-    .B2(_03893_),
-    .C1(_04122_),
-    .X(_04123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15316_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
-    .Y(_04124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15317_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
-    .Y(_04125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15318_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
-    .Y(_04126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15319_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
-    .Y(_04127_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15320_ (.A1(_04126_),
-    .A2(_03905_),
-    .B1(_04127_),
-    .B2(_03907_),
-    .X(_04128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15321_ (.A1(_04124_),
-    .A2(_03901_),
-    .B1(_04125_),
-    .B2(_03903_),
-    .C1(_04128_),
-    .X(_04129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15322_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
-    .Y(_04130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15323_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
-    .Y(_04131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _15324_ (.A(_03914_),
-    .B(_03915_),
-    .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
-    .X(_04132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15325_ (.A1(_04130_),
-    .A2(_03911_),
-    .B1(_04131_),
-    .B2(_03913_),
-    .C1(_04132_),
-    .X(_04133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15326_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
-    .Y(_04134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15327_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
-    .Y(_04135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15328_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
-    .Y(_04136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15329_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
-    .Y(_04137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15330_ (.A1(_04136_),
-    .A2(_03923_),
-    .B1(_04137_),
-    .B2(_03925_),
-    .X(_04138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _15331_ (.A1(_04134_),
-    .A2(_03919_),
-    .B1(_04135_),
-    .B2(_03921_),
-    .C1(_04138_),
-    .X(_04139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15332_ (.A(_04123_),
-    .B(_04129_),
-    .C(_04133_),
-    .D(_04139_),
-    .X(_04140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15333_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
-    .Y(_04141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15334_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
-    .Y(_04142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15335_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
-    .Y(_04143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15336_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
-    .Y(_04144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15337_ (.A1(_04143_),
-    .A2(_03934_),
-    .B1(_04144_),
-    .B2(_03936_),
-    .X(_04145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15338_ (.A1(_04141_),
-    .A2(_03930_),
-    .B1(_04142_),
-    .B2(_03932_),
-    .C1(_04145_),
-    .X(_04146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15339_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
-    .Y(_04147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15340_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
-    .Y(_04148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15341_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
-    .Y(_04149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15342_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
-    .Y(_04150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _15343_ (.A1(_04149_),
-    .A2(_03944_),
-    .B1(_04150_),
-    .B2(_03946_),
-    .X(_04151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15344_ (.A1(_04147_),
-    .A2(_03940_),
-    .B1(_04148_),
-    .B2(_03942_),
-    .C1(_04151_),
-    .X(_04152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15345_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
-    .Y(_04153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15346_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
-    .Y(_04154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15347_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
-    .Y(_04155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15348_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
-    .Y(_04156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15349_ (.A1(_04155_),
-    .A2(_03954_),
-    .B1(_04156_),
-    .B2(_03956_),
-    .X(_04157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15350_ (.A1(_04153_),
-    .A2(_03950_),
-    .B1(_04154_),
-    .B2(_03952_),
-    .C1(_04157_),
-    .X(_04158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15351_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
-    .Y(_04159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15352_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
-    .Y(_04160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15353_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
-    .Y(_04161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15354_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
-    .Y(_04162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15355_ (.A1(_04161_),
-    .A2(_03964_),
-    .B1(_04162_),
-    .B2(_03966_),
-    .X(_04163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15356_ (.A1(_04159_),
-    .A2(_03960_),
-    .B1(_04160_),
-    .B2(_03962_),
-    .C1(_04163_),
-    .X(_04164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15357_ (.A(_04146_),
-    .B(_04152_),
-    .C(_04158_),
-    .D(_04164_),
-    .X(_04165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15358_ (.A(_04092_),
-    .B(_04117_),
-    .C(_04140_),
-    .D(_04165_),
+ sky130_fd_sc_hd__clkbuf_1 _14375_ (.A(_03911_),
     .X(_00562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15359_ (.A1(_00563_),
-    .A2(_06518_),
-    .B1(_03034_),
-    .C1(_03610_),
+ sky130_fd_sc_hd__o211a_1 _14376_ (.A1(_00563_),
+    .A2(_03347_),
+    .B1(_07957_),
+    .C1(_03348_),
     .X(_00564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15360_ (.A(_03808_),
+ sky130_fd_sc_hd__nor2_1 _14377_ (.A(\u_usb_host.u_core.sof_transfer_q ),
     .B(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
     .Y(_00572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15361_ (.A(\u_usb_host.u_core.u_sie.token_q[0] ),
+ sky130_fd_sc_hd__clkinv_2 _14378_ (.A(\u_usb_host.u_core.u_sie.token_q[0] ),
     .Y(_00574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15362_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
+ sky130_fd_sc_hd__inv_2 _14379_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
     .Y(_00575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15363_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
-    .Y(_04166_),
+ sky130_fd_sc_hd__inv_2 _14380_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
+    .Y(_03912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15364_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
-    .Y(_04167_),
+ sky130_fd_sc_hd__inv_2 _14381_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
+    .Y(_03913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15365_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
-    .Y(_04168_),
+ sky130_fd_sc_hd__inv_2 _14382_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
+    .Y(_03914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15366_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
-    .Y(_04169_),
+ sky130_fd_sc_hd__inv_2 _14383_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
+    .Y(_03915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _15367_ (.A1(_04168_),
-    .A2(_03814_),
-    .B1(_04169_),
-    .B2(_03816_),
-    .X(_04170_),
+ sky130_fd_sc_hd__o22a_1 _14384_ (.A1(_03914_),
+    .A2(_03555_),
+    .B1(_03915_),
+    .B2(_03557_),
+    .X(_03916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15368_ (.A1(_04166_),
-    .A2(_03810_),
-    .B1(_04167_),
-    .B2(_03812_),
-    .C1(_04170_),
-    .X(_04171_),
+ sky130_fd_sc_hd__o221a_1 _14385_ (.A1(_03912_),
+    .A2(_03551_),
+    .B1(_03913_),
+    .B2(_03553_),
+    .C1(_03916_),
+    .X(_03917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15369_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
-    .Y(_04172_),
+ sky130_fd_sc_hd__inv_2 _14386_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
+    .Y(_03918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15370_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
-    .Y(_04173_),
+ sky130_fd_sc_hd__inv_2 _14387_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
+    .Y(_03919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15371_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
-    .Y(_04174_),
+ sky130_fd_sc_hd__inv_2 _14388_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
+    .Y(_03920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15372_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
-    .Y(_04175_),
+ sky130_fd_sc_hd__inv_2 _14389_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
+    .Y(_03921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15373_ (.A1(_04174_),
-    .A2(_03824_),
-    .B1(_04175_),
-    .B2(_03826_),
-    .X(_04176_),
+ sky130_fd_sc_hd__o22a_1 _14390_ (.A1(_03920_),
+    .A2(_03565_),
+    .B1(_03921_),
+    .B2(_03567_),
+    .X(_03922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15374_ (.A1(_04172_),
-    .A2(_03820_),
-    .B1(_04173_),
-    .B2(_03822_),
-    .C1(_04176_),
-    .X(_04177_),
+ sky130_fd_sc_hd__o221a_1 _14391_ (.A1(_03918_),
+    .A2(_03561_),
+    .B1(_03919_),
+    .B2(_03563_),
+    .C1(_03922_),
+    .X(_03923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15375_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
-    .Y(_04178_),
+ sky130_fd_sc_hd__inv_2 _14392_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
+    .Y(_03924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15376_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
-    .Y(_04179_),
+ sky130_fd_sc_hd__inv_2 _14393_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
+    .Y(_03925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15377_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
-    .Y(_04180_),
+ sky130_fd_sc_hd__inv_2 _14394_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
+    .Y(_03926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15378_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
-    .Y(_04181_),
+ sky130_fd_sc_hd__inv_2 _14395_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
+    .Y(_03927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15379_ (.A1(_04180_),
-    .A2(_03834_),
-    .B1(_04181_),
-    .B2(_03836_),
-    .X(_04182_),
+ sky130_fd_sc_hd__o22a_1 _14396_ (.A1(_03926_),
+    .A2(_03575_),
+    .B1(_03927_),
+    .B2(_03577_),
+    .X(_03928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15380_ (.A1(_04178_),
-    .A2(_03830_),
-    .B1(_04179_),
-    .B2(_03832_),
-    .C1(_04182_),
-    .X(_04183_),
+ sky130_fd_sc_hd__o221a_1 _14397_ (.A1(_03924_),
+    .A2(_03571_),
+    .B1(_03925_),
+    .B2(_03573_),
+    .C1(_03928_),
+    .X(_03929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15381_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
-    .Y(_04184_),
+ sky130_fd_sc_hd__inv_2 _14398_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
+    .Y(_03930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15382_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
-    .Y(_04185_),
+ sky130_fd_sc_hd__inv_2 _14399_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
+    .Y(_03931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15383_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
-    .Y(_04186_),
+ sky130_fd_sc_hd__inv_2 _14400_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
+    .Y(_03932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15384_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
-    .Y(_04187_),
+ sky130_fd_sc_hd__inv_2 _14401_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
+    .Y(_03933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15385_ (.A1(_04186_),
-    .A2(_03844_),
-    .B1(_04187_),
-    .B2(_03846_),
-    .X(_04188_),
+ sky130_fd_sc_hd__o22a_1 _14402_ (.A1(_03932_),
+    .A2(_03585_),
+    .B1(_03933_),
+    .B2(_03587_),
+    .X(_03934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15386_ (.A1(_04184_),
-    .A2(_03840_),
-    .B1(_04185_),
-    .B2(_03842_),
-    .C1(_04188_),
-    .X(_04189_),
+ sky130_fd_sc_hd__o221a_1 _14403_ (.A1(_03930_),
+    .A2(_03581_),
+    .B1(_03931_),
+    .B2(_03583_),
+    .C1(_03934_),
+    .X(_03935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _15387_ (.A(_04171_),
-    .B(_04177_),
-    .C(_04183_),
-    .D(_04189_),
-    .X(_04190_),
+ sky130_fd_sc_hd__and4_1 _14404_ (.A(_03917_),
+    .B(_03923_),
+    .C(_03929_),
+    .D(_03935_),
+    .X(_03936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15388_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
-    .Y(_04191_),
+ sky130_fd_sc_hd__inv_2 _14405_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
+    .Y(_03937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15389_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
-    .Y(_04192_),
+ sky130_fd_sc_hd__inv_2 _14406_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
+    .Y(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15390_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
-    .Y(_04193_),
+ sky130_fd_sc_hd__inv_2 _14407_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
+    .Y(_03939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15391_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
-    .Y(_04194_),
+ sky130_fd_sc_hd__inv_2 _14408_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
+    .Y(_03940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15392_ (.A1(_04193_),
-    .A2(_03854_),
-    .B1(_04194_),
-    .B2(_03856_),
-    .X(_04195_),
+ sky130_fd_sc_hd__o22a_1 _14409_ (.A1(_03939_),
+    .A2(_03595_),
+    .B1(_03940_),
+    .B2(_03597_),
+    .X(_03941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15393_ (.A1(_04191_),
-    .A2(_03851_),
-    .B1(_04192_),
-    .B2(_06645_),
-    .C1(_04195_),
-    .X(_04196_),
+ sky130_fd_sc_hd__o221a_1 _14410_ (.A1(_03937_),
+    .A2(_03592_),
+    .B1(_03938_),
+    .B2(_06305_),
+    .C1(_03941_),
+    .X(_03942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15394_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
-    .Y(_04197_),
+ sky130_fd_sc_hd__inv_2 _14411_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
+    .Y(_03943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15395_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
-    .Y(_04198_),
+ sky130_fd_sc_hd__inv_2 _14412_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
+    .Y(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15396_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
-    .Y(_04199_),
+ sky130_fd_sc_hd__inv_2 _14413_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
+    .Y(_03945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15397_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
-    .Y(_04200_),
+ sky130_fd_sc_hd__inv_2 _14414_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
+    .Y(_03946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15398_ (.A1(_04199_),
-    .A2(_03864_),
-    .B1(_04200_),
-    .B2(_03866_),
-    .X(_04201_),
+ sky130_fd_sc_hd__o22a_1 _14415_ (.A1(_03945_),
+    .A2(_03605_),
+    .B1(_03946_),
+    .B2(_03607_),
+    .X(_03947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15399_ (.A1(_04197_),
-    .A2(_03860_),
-    .B1(_04198_),
-    .B2(_03862_),
-    .C1(_04201_),
-    .X(_04202_),
+ sky130_fd_sc_hd__o221a_1 _14416_ (.A1(_03943_),
+    .A2(_03601_),
+    .B1(_03944_),
+    .B2(_03603_),
+    .C1(_03947_),
+    .X(_03948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15400_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
-    .Y(_04203_),
+ sky130_fd_sc_hd__inv_2 _14417_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
+    .Y(_03949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15401_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
-    .Y(_04204_),
+ sky130_fd_sc_hd__inv_2 _14418_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
+    .Y(_03950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15402_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
-    .Y(_04205_),
+ sky130_fd_sc_hd__inv_2 _14419_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
+    .Y(_03951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15403_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
-    .Y(_04206_),
+ sky130_fd_sc_hd__inv_2 _14420_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
+    .Y(_03952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15404_ (.A1(_04205_),
-    .A2(_03874_),
-    .B1(_04206_),
-    .B2(_03876_),
-    .X(_04207_),
+ sky130_fd_sc_hd__o22a_1 _14421_ (.A1(_03951_),
+    .A2(_03615_),
+    .B1(_03952_),
+    .B2(_03617_),
+    .X(_03953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15405_ (.A1(_04203_),
-    .A2(_03870_),
-    .B1(_04204_),
-    .B2(_03872_),
-    .C1(_04207_),
-    .X(_04208_),
+ sky130_fd_sc_hd__o221a_1 _14422_ (.A1(_03949_),
+    .A2(_03611_),
+    .B1(_03950_),
+    .B2(_03613_),
+    .C1(_03953_),
+    .X(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15406_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
-    .Y(_04209_),
+ sky130_fd_sc_hd__inv_2 _14423_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
+    .Y(_03955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15407_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
-    .Y(_04210_),
+ sky130_fd_sc_hd__inv_2 _14424_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
+    .Y(_03956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15408_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
-    .Y(_04211_),
+ sky130_fd_sc_hd__inv_2 _14425_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
+    .Y(_03957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15409_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
-    .Y(_04212_),
+ sky130_fd_sc_hd__inv_2 _14426_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
+    .Y(_03958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15410_ (.A1(_04211_),
-    .A2(_03884_),
-    .B1(_04212_),
-    .B2(_03886_),
-    .X(_04213_),
+ sky130_fd_sc_hd__o22a_1 _14427_ (.A1(_03957_),
+    .A2(_03625_),
+    .B1(_03958_),
+    .B2(_03627_),
+    .X(_03959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15411_ (.A1(_04209_),
-    .A2(_03880_),
-    .B1(_04210_),
-    .B2(_03882_),
-    .C1(_04213_),
-    .X(_04214_),
+ sky130_fd_sc_hd__o221a_1 _14428_ (.A1(_03955_),
+    .A2(_03621_),
+    .B1(_03956_),
+    .B2(_03623_),
+    .C1(_03959_),
+    .X(_03960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15412_ (.A(_04196_),
-    .B(_04202_),
-    .C(_04208_),
-    .D(_04214_),
-    .X(_04215_),
+ sky130_fd_sc_hd__and4_1 _14429_ (.A(_03942_),
+    .B(_03948_),
+    .C(_03954_),
+    .D(_03960_),
+    .X(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15413_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
-    .Y(_04216_),
+ sky130_fd_sc_hd__inv_2 _14430_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
+    .Y(_03962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15414_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
-    .Y(_04217_),
+ sky130_fd_sc_hd__inv_2 _14431_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
+    .Y(_03963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15415_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
-    .Y(_04218_),
+ sky130_fd_sc_hd__inv_2 _14432_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
+    .Y(_03964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15416_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
-    .Y(_04219_),
+ sky130_fd_sc_hd__inv_2 _14433_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
+    .Y(_03965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15417_ (.A1(_04218_),
-    .A2(_03895_),
-    .B1(_04219_),
-    .B2(_03897_),
-    .X(_04220_),
+ sky130_fd_sc_hd__o22a_1 _14434_ (.A1(_03964_),
+    .A2(_03636_),
+    .B1(_03965_),
+    .B2(_03638_),
+    .X(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15418_ (.A1(_04216_),
-    .A2(_03891_),
-    .B1(_04217_),
-    .B2(_03893_),
-    .C1(_04220_),
-    .X(_04221_),
+ sky130_fd_sc_hd__o221a_1 _14435_ (.A1(_03962_),
+    .A2(_03632_),
+    .B1(_03963_),
+    .B2(_03634_),
+    .C1(_03966_),
+    .X(_03967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15419_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
-    .Y(_04222_),
+ sky130_fd_sc_hd__inv_2 _14436_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
+    .Y(_03968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15420_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
-    .Y(_04223_),
+ sky130_fd_sc_hd__inv_2 _14437_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
+    .Y(_03969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15421_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
-    .Y(_04224_),
+ sky130_fd_sc_hd__inv_2 _14438_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
+    .Y(_03970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15422_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
-    .Y(_04225_),
+ sky130_fd_sc_hd__inv_2 _14439_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
+    .Y(_03971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15423_ (.A1(_04224_),
-    .A2(_03905_),
-    .B1(_04225_),
-    .B2(_03907_),
-    .X(_04226_),
+ sky130_fd_sc_hd__o22a_1 _14440_ (.A1(_03970_),
+    .A2(_03646_),
+    .B1(_03971_),
+    .B2(_03648_),
+    .X(_03972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15424_ (.A1(_04222_),
-    .A2(_03901_),
-    .B1(_04223_),
-    .B2(_03903_),
-    .C1(_04226_),
-    .X(_04227_),
+ sky130_fd_sc_hd__o221a_1 _14441_ (.A1(_03968_),
+    .A2(_03642_),
+    .B1(_03969_),
+    .B2(_03644_),
+    .C1(_03972_),
+    .X(_03973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15425_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
-    .Y(_04228_),
+ sky130_fd_sc_hd__inv_2 _14442_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
+    .Y(_03974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15426_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
-    .Y(_04229_),
+ sky130_fd_sc_hd__inv_2 _14443_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
+    .Y(_03975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _15427_ (.A(_03914_),
-    .B(_03915_),
+ sky130_fd_sc_hd__or3b_1 _14444_ (.A(_03655_),
+    .B(_03656_),
     .C_N(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ),
-    .X(_04230_),
+    .X(_03976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15428_ (.A1(_04228_),
-    .A2(_03911_),
-    .B1(_04229_),
-    .B2(_03913_),
-    .C1(_04230_),
-    .X(_04231_),
+ sky130_fd_sc_hd__o221a_1 _14445_ (.A1(_03974_),
+    .A2(_03652_),
+    .B1(_03975_),
+    .B2(_03654_),
+    .C1(_03976_),
+    .X(_03977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15429_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
-    .Y(_04232_),
+ sky130_fd_sc_hd__inv_2 _14446_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
+    .Y(_03978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15430_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
-    .Y(_04233_),
+ sky130_fd_sc_hd__inv_2 _14447_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
+    .Y(_03979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15431_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
-    .Y(_04234_),
+ sky130_fd_sc_hd__inv_2 _14448_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
+    .Y(_03980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15432_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
-    .Y(_04235_),
+ sky130_fd_sc_hd__inv_2 _14449_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
+    .Y(_03981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15433_ (.A1(_04234_),
-    .A2(_03923_),
-    .B1(_04235_),
-    .B2(_03925_),
-    .X(_04236_),
+ sky130_fd_sc_hd__o22a_1 _14450_ (.A1(_03980_),
+    .A2(_03664_),
+    .B1(_03981_),
+    .B2(_03666_),
+    .X(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _15434_ (.A1(_04232_),
-    .A2(_03919_),
-    .B1(_04233_),
-    .B2(_03921_),
-    .C1(_04236_),
-    .X(_04237_),
+ sky130_fd_sc_hd__o221a_1 _14451_ (.A1(_03978_),
+    .A2(_03660_),
+    .B1(_03979_),
+    .B2(_03662_),
+    .C1(_03982_),
+    .X(_03983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15435_ (.A(_04221_),
-    .B(_04227_),
-    .C(_04231_),
-    .D(_04237_),
-    .X(_04238_),
+ sky130_fd_sc_hd__and4_1 _14452_ (.A(_03967_),
+    .B(_03973_),
+    .C(_03977_),
+    .D(_03983_),
+    .X(_03984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15436_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
-    .Y(_04239_),
+ sky130_fd_sc_hd__inv_2 _14453_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
+    .Y(_03985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15437_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
-    .Y(_04240_),
+ sky130_fd_sc_hd__inv_2 _14454_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
+    .Y(_03986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15438_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
-    .Y(_04241_),
+ sky130_fd_sc_hd__inv_2 _14455_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
+    .Y(_03987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15439_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
-    .Y(_04242_),
+ sky130_fd_sc_hd__inv_2 _14456_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
+    .Y(_03988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15440_ (.A1(_04241_),
-    .A2(_03934_),
-    .B1(_04242_),
-    .B2(_03936_),
-    .X(_04243_),
+ sky130_fd_sc_hd__o22a_1 _14457_ (.A1(_03987_),
+    .A2(_03675_),
+    .B1(_03988_),
+    .B2(_03677_),
+    .X(_03989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15441_ (.A1(_04239_),
-    .A2(_03930_),
-    .B1(_04240_),
-    .B2(_03932_),
-    .C1(_04243_),
-    .X(_04244_),
+ sky130_fd_sc_hd__o221a_1 _14458_ (.A1(_03985_),
+    .A2(_03671_),
+    .B1(_03986_),
+    .B2(_03673_),
+    .C1(_03989_),
+    .X(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15442_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
-    .Y(_04245_),
+ sky130_fd_sc_hd__inv_2 _14459_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
+    .Y(_03991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15443_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
-    .Y(_04246_),
+ sky130_fd_sc_hd__inv_2 _14460_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
+    .Y(_03992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15444_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
-    .Y(_04247_),
+ sky130_fd_sc_hd__inv_2 _14461_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
+    .Y(_03993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15445_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
-    .Y(_04248_),
+ sky130_fd_sc_hd__inv_2 _14462_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
+    .Y(_03994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _15446_ (.A1(_04247_),
-    .A2(_03944_),
-    .B1(_04248_),
-    .B2(_03946_),
-    .X(_04249_),
+ sky130_fd_sc_hd__o22a_1 _14463_ (.A1(_03993_),
+    .A2(_03685_),
+    .B1(_03994_),
+    .B2(_03687_),
+    .X(_03995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15447_ (.A1(_04245_),
-    .A2(_03940_),
-    .B1(_04246_),
-    .B2(_03942_),
-    .C1(_04249_),
-    .X(_04250_),
+ sky130_fd_sc_hd__o221a_1 _14464_ (.A1(_03991_),
+    .A2(_03681_),
+    .B1(_03992_),
+    .B2(_03683_),
+    .C1(_03995_),
+    .X(_03996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15448_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
-    .Y(_04251_),
+ sky130_fd_sc_hd__inv_2 _14465_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
+    .Y(_03997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15449_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
-    .Y(_04252_),
+ sky130_fd_sc_hd__inv_2 _14466_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
+    .Y(_03998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15450_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
-    .Y(_04253_),
+ sky130_fd_sc_hd__inv_2 _14467_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
+    .Y(_03999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15451_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
-    .Y(_04254_),
+ sky130_fd_sc_hd__inv_2 _14468_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
+    .Y(_04000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15452_ (.A1(_04253_),
-    .A2(_03954_),
-    .B1(_04254_),
-    .B2(_03956_),
-    .X(_04255_),
+ sky130_fd_sc_hd__o22a_1 _14469_ (.A1(_03999_),
+    .A2(_03695_),
+    .B1(_04000_),
+    .B2(_03697_),
+    .X(_04001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15453_ (.A1(_04251_),
-    .A2(_03950_),
-    .B1(_04252_),
-    .B2(_03952_),
-    .C1(_04255_),
-    .X(_04256_),
+ sky130_fd_sc_hd__o221a_1 _14470_ (.A1(_03997_),
+    .A2(_03691_),
+    .B1(_03998_),
+    .B2(_03693_),
+    .C1(_04001_),
+    .X(_04002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15454_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
-    .Y(_04257_),
+ sky130_fd_sc_hd__inv_2 _14471_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
+    .Y(_04003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15455_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
-    .Y(_04258_),
+ sky130_fd_sc_hd__inv_2 _14472_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
+    .Y(_04004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15456_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
-    .Y(_04259_),
+ sky130_fd_sc_hd__inv_2 _14473_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
+    .Y(_04005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15457_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
-    .Y(_04260_),
+ sky130_fd_sc_hd__inv_2 _14474_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
+    .Y(_04006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15458_ (.A1(_04259_),
-    .A2(_03964_),
-    .B1(_04260_),
-    .B2(_03966_),
-    .X(_04261_),
+ sky130_fd_sc_hd__o22a_1 _14475_ (.A1(_04005_),
+    .A2(_03705_),
+    .B1(_04006_),
+    .B2(_03707_),
+    .X(_04007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15459_ (.A1(_04257_),
-    .A2(_03960_),
-    .B1(_04258_),
-    .B2(_03962_),
-    .C1(_04261_),
-    .X(_04262_),
+ sky130_fd_sc_hd__o221a_1 _14476_ (.A1(_04003_),
+    .A2(_03701_),
+    .B1(_04004_),
+    .B2(_03703_),
+    .C1(_04007_),
+    .X(_04008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15460_ (.A(_04244_),
-    .B(_04250_),
-    .C(_04256_),
-    .D(_04262_),
-    .X(_04263_),
+ sky130_fd_sc_hd__and4_2 _14477_ (.A(_03990_),
+    .B(_03996_),
+    .C(_04002_),
+    .D(_04008_),
+    .X(_04009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15461_ (.A(_04190_),
-    .B(_04215_),
-    .C(_04238_),
-    .D(_04263_),
+ sky130_fd_sc_hd__and4_1 _14478_ (.A(_03936_),
+    .B(_03961_),
+    .C(_03984_),
+    .D(_04009_),
+    .X(_04010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14479_ (.A(_04010_),
     .X(_00576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15462_ (.A1(_00577_),
-    .A2(_03511_),
-    .B1(_03610_),
+ sky130_fd_sc_hd__o21a_1 _14480_ (.A1(_00577_),
+    .A2(_03247_),
+    .B1(_03348_),
     .X(_00578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15463_ (.A(\u_usb_host.u_phy.ones_count_q[0] ),
-    .X(_04264_),
+ sky130_fd_sc_hd__or2_1 _14481_ (.A(\u_usb_host.u_phy.ones_count_q[0] ),
+    .B(_06516_),
+    .X(_04011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15464_ (.A(_04264_),
-    .B(_06879_),
+ sky130_fd_sc_hd__clkbuf_1 _14482_ (.A(_04011_),
     .X(_00587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15465_ (.A(_04264_),
+ sky130_fd_sc_hd__or2_1 _14483_ (.A(\u_usb_host.u_phy.ones_count_q[0] ),
     .B(_00244_),
+    .X(_04012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14484_ (.A(_04012_),
     .X(_00588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15466_ (.A1(_06370_),
-    .A2(_04264_),
-    .B1(_03087_),
-    .B2(_06372_),
-    .X(_04265_),
+ sky130_fd_sc_hd__o22a_1 _14485_ (.A1(_06053_),
+    .A2(\u_usb_host.u_phy.ones_count_q[0] ),
+    .B1(\u_usb_host.u_phy.ones_count_q[1] ),
+    .B2(_06056_),
+    .X(_04013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15467_ (.A(_06879_),
-    .B(_04265_),
+ sky130_fd_sc_hd__or2_1 _14486_ (.A(_06516_),
+    .B(_04013_),
+    .X(_04014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14487_ (.A(_04014_),
     .X(_00590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15468_ (.A(_00244_),
-    .B(_04265_),
+ sky130_fd_sc_hd__or2_1 _14488_ (.A(_00244_),
+    .B(_04013_),
+    .X(_04015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14489_ (.A(_04015_),
     .X(_00591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15469_ (.A1(_06370_),
-    .A2(_06372_),
-    .B1(_06366_),
-    .X(_04266_),
+ sky130_fd_sc_hd__o21a_1 _14490_ (.A1(_06053_),
+    .A2(_06056_),
+    .B1(_06049_),
+    .X(_04016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _15470_ (.A1(_03087_),
+ sky130_fd_sc_hd__a31o_1 _14491_ (.A1(\u_usb_host.u_phy.ones_count_q[1] ),
     .A2(\u_usb_host.u_phy.ones_count_q[0] ),
     .A3(\u_usb_host.u_phy.ones_count_q[2] ),
-    .B1(_04266_),
-    .X(_04267_),
+    .B1(_04016_),
+    .X(_04017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15471_ (.A(_06879_),
-    .B(_04267_),
+ sky130_fd_sc_hd__or2_1 _14492_ (.A(_06516_),
+    .B(_04017_),
+    .X(_04018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14493_ (.A(_04018_),
     .X(_00593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15472_ (.A(_00244_),
-    .B(_04267_),
+ sky130_fd_sc_hd__or2_1 _14494_ (.A(_00244_),
+    .B(_04017_),
+    .X(_04019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14495_ (.A(_04019_),
     .X(_00594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15473_ (.A(_06319_),
-    .B(_06321_),
+ sky130_fd_sc_hd__nor2_1 _14496_ (.A(_06004_),
+    .B(_06006_),
     .Y(_00166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15474_ (.A(\u_usb_host.u_core.transfer_ack_w ),
+ sky130_fd_sc_hd__inv_2 _14497_ (.A(\u_usb_host.u_core.transfer_ack_w ),
     .Y(_00596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15475_ (.A(_06192_),
-    .B(_06474_),
+ sky130_fd_sc_hd__nor2_1 _14498_ (.A(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .B(_06157_),
     .Y(_00598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15476_ (.A1(_06183_),
-    .A2(_06423_),
+ sky130_fd_sc_hd__o22a_1 _14499_ (.A1(_05880_),
+    .A2(_06106_),
     .B1(\u_usb_host.u_core.status_rx_count_w[1] ),
-    .B2(_06429_),
-    .X(_04268_),
+    .B2(_06113_),
+    .X(_04020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15477_ (.A(_02997_),
-    .X(_04269_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14500_ (.A(_06139_),
+    .X(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15478_ (.A(\u_usb_host.u_core.status_rx_count_w[0] ),
-    .B(_04268_),
-    .Y(_04270_),
+ sky130_fd_sc_hd__nand2_1 _14501_ (.A(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .B(_04020_),
+    .Y(_04022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15479_ (.A1(_06192_),
-    .A2(_04268_),
-    .B1(_04269_),
-    .C1(_04270_),
+ sky130_fd_sc_hd__o211a_1 _14502_ (.A1(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .A2(_04020_),
+    .B1(_04021_),
+    .C1(_04022_),
     .X(_00599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15480_ (.A1(\u_usb_host.u_core.status_rx_count_w[2] ),
-    .A2(_06429_),
-    .B1(_06173_),
-    .B2(_06423_),
-    .X(_04271_),
+ sky130_fd_sc_hd__o22a_1 _14503_ (.A1(\u_usb_host.u_core.status_rx_count_w[2] ),
+    .A2(_06113_),
+    .B1(_05870_),
+    .B2(_06106_),
+    .X(_04023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15481_ (.A1(_06183_),
-    .A2(_06423_),
-    .B1(_04270_),
-    .Y(_04272_),
+ sky130_fd_sc_hd__o21ai_1 _14504_ (.A1(_05880_),
+    .A2(_06106_),
+    .B1(_04022_),
+    .Y(_04024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15482_ (.A(_04271_),
-    .B(_04272_),
-    .Y(_04273_),
+ sky130_fd_sc_hd__nand2_1 _14505_ (.A(_04023_),
+    .B(_04024_),
+    .Y(_04025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15483_ (.A1(_04271_),
-    .A2(_04272_),
-    .B1(_04269_),
-    .C1(_04273_),
+ sky130_fd_sc_hd__o211a_1 _14506_ (.A1(_04023_),
+    .A2(_04024_),
+    .B1(_04021_),
+    .C1(_04025_),
     .X(_00600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15484_ (.A(_06433_),
-    .X(_04274_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14507_ (.A(_06117_),
+    .X(_04026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15485_ (.A(_06161_),
-    .B(_06430_),
-    .Y(_04275_),
+ sky130_fd_sc_hd__nor2_1 _14508_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
+    .B(_06113_),
+    .Y(_04027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _15486_ (.A1(_06161_),
-    .A2(_04274_),
-    .B1(_04275_),
-    .Y(_04276_),
+ sky130_fd_sc_hd__a21oi_1 _14509_ (.A1(\u_usb_host.u_core.status_rx_count_w[3] ),
+    .A2(_04026_),
+    .B1(_04027_),
+    .Y(_04028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15487_ (.A(_06427_),
-    .X(_04277_),
+ sky130_fd_sc_hd__clkbuf_1 _14510_ (.A(_06111_),
+    .X(_04029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15488_ (.A(_04277_),
-    .X(_04278_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14511_ (.A(_04029_),
+    .X(_04030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15489_ (.A(_04278_),
-    .X(_04279_),
+ sky130_fd_sc_hd__o21ai_1 _14512_ (.A1(_05870_),
+    .A2(_04030_),
+    .B1(_04025_),
+    .Y(_04031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15490_ (.A1(_06173_),
-    .A2(_04279_),
-    .B1(_04273_),
-    .Y(_04280_),
+ sky130_fd_sc_hd__nand2_1 _14513_ (.A(_04028_),
+    .B(_04031_),
+    .Y(_04032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15491_ (.A(_04276_),
-    .B(_04280_),
-    .Y(_04281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15492_ (.A1(_04276_),
-    .A2(_04280_),
-    .B1(_04269_),
-    .C1(_04281_),
+ sky130_fd_sc_hd__o211a_1 _14514_ (.A1(_04028_),
+    .A2(_04031_),
+    .B1(_04021_),
+    .C1(_04032_),
     .X(_00601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15493_ (.A1(\u_usb_host.u_core.status_rx_count_w[4] ),
-    .A2(_06430_),
-    .B1(_06155_),
-    .B2(_06424_),
-    .X(_04282_),
+ sky130_fd_sc_hd__o22a_1 _14515_ (.A1(\u_usb_host.u_core.status_rx_count_w[4] ),
+    .A2(_06114_),
+    .B1(_05853_),
+    .B2(_06107_),
+    .X(_04033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _15494_ (.A1(_06424_),
-    .A2(_06435_),
-    .B1(_04273_),
-    .B2(_04275_),
-    .Y(_04283_),
+ sky130_fd_sc_hd__o22ai_1 _14516_ (.A1(_06107_),
+    .A2(_06119_),
+    .B1(_04025_),
+    .B2(_04027_),
+    .Y(_04034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15495_ (.A(_02997_),
-    .X(_04284_),
+ sky130_fd_sc_hd__nand2_1 _14517_ (.A(_04033_),
+    .B(_04034_),
+    .Y(_04035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15496_ (.A(_04282_),
-    .B(_04283_),
-    .Y(_04285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15497_ (.A1(_04282_),
-    .A2(_04283_),
-    .B1(_04284_),
-    .C1(_04285_),
+ sky130_fd_sc_hd__o211a_1 _14518_ (.A1(_04033_),
+    .A2(_04034_),
+    .B1(_04021_),
+    .C1(_04035_),
     .X(_00602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15498_ (.A1(_06145_),
-    .A2(_06424_),
+ sky130_fd_sc_hd__o22a_1 _14519_ (.A1(_05843_),
+    .A2(_06107_),
     .B1(\u_usb_host.u_core.status_rx_count_w[5] ),
-    .B2(_06429_),
-    .X(_04286_),
+    .B2(_06114_),
+    .X(_04036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15499_ (.A(_04278_),
-    .X(_04287_),
+ sky130_fd_sc_hd__clkbuf_2 _14520_ (.A(_04029_),
+    .X(_04037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15500_ (.A1(_06155_),
-    .A2(_04287_),
-    .B1(_04285_),
-    .Y(_04288_),
+ sky130_fd_sc_hd__o21ai_1 _14521_ (.A1(_05853_),
+    .A2(_04037_),
+    .B1(_04035_),
+    .Y(_04038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15501_ (.A(_04286_),
-    .Y(_04289_),
+ sky130_fd_sc_hd__inv_2 _14522_ (.A(_04036_),
+    .Y(_04039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15502_ (.A(_04288_),
-    .Y(_04290_),
+ sky130_fd_sc_hd__inv_2 _14523_ (.A(_04038_),
+    .Y(_04040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15503_ (.A1(_04286_),
-    .A2(_04288_),
-    .B1(_04289_),
-    .B2(_04290_),
-    .C1(_02998_),
+ sky130_fd_sc_hd__clkbuf_2 _14524_ (.A(_06139_),
+    .X(_04041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14525_ (.A1(_04036_),
+    .A2(_04038_),
+    .B1(_04039_),
+    .B2(_04040_),
+    .C1(_04041_),
     .X(_00603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15504_ (.A1(\u_usb_host.u_core.status_rx_count_w[6] ),
-    .A2(_06430_),
-    .B1(_06138_),
-    .B2(_06425_),
-    .X(_04291_),
+ sky130_fd_sc_hd__o22a_1 _14526_ (.A1(\u_usb_host.u_core.status_rx_count_w[6] ),
+    .A2(_06114_),
+    .B1(_05836_),
+    .B2(_06108_),
+    .X(_04042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15505_ (.A(_04285_),
-    .B(_04289_),
-    .X(_04292_),
+ sky130_fd_sc_hd__or2_1 _14527_ (.A(_04035_),
+    .B(_04039_),
+    .X(_04043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15506_ (.A1(_04277_),
-    .A2(_06438_),
-    .B1(_04292_),
-    .Y(_04293_),
+ sky130_fd_sc_hd__o21ai_1 _14528_ (.A1(_06111_),
+    .A2(_06124_),
+    .B1(_04043_),
+    .Y(_04044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15507_ (.A(_04291_),
-    .B(_04293_),
-    .Y(_04294_),
+ sky130_fd_sc_hd__clkbuf_2 _14529_ (.A(_06138_),
+    .X(_04045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15508_ (.A1(_04291_),
-    .A2(_04293_),
-    .B1(_04284_),
-    .C1(_04294_),
+ sky130_fd_sc_hd__nand2_1 _14530_ (.A(_04042_),
+    .B(_04044_),
+    .Y(_04046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o211a_1 _14531_ (.A1(_04042_),
+    .A2(_04044_),
+    .B1(_04045_),
+    .C1(_04046_),
     .X(_00604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15509_ (.A1(_06123_),
-    .A2(_06431_),
+ sky130_fd_sc_hd__o22a_1 _14532_ (.A1(_05821_),
+    .A2(_06115_),
     .B1(\u_usb_host.u_core.status_rx_count_w[7] ),
-    .B2(_06425_),
-    .X(_04295_),
+    .B2(_06108_),
+    .X(_04047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15510_ (.A(_04295_),
-    .Y(_04296_),
+ sky130_fd_sc_hd__inv_2 _14533_ (.A(_04047_),
+    .Y(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15511_ (.A1(_06138_),
-    .A2(_04287_),
-    .B1(_04294_),
-    .Y(_04297_),
+ sky130_fd_sc_hd__o21ai_1 _14534_ (.A1(_05836_),
+    .A2(_04037_),
+    .B1(_04046_),
+    .Y(_04049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15512_ (.A(_04297_),
-    .Y(_04298_),
+ sky130_fd_sc_hd__inv_2 _14535_ (.A(_04049_),
+    .Y(_04050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15513_ (.A1(_04296_),
-    .A2(_04297_),
-    .B1(_04295_),
-    .B2(_04298_),
-    .C1(_02998_),
+ sky130_fd_sc_hd__o221a_1 _14536_ (.A1(_04048_),
+    .A2(_04049_),
+    .B1(_04047_),
+    .B2(_04050_),
+    .C1(_04041_),
     .X(_00605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15514_ (.A1(\u_usb_host.u_core.status_rx_count_w[8] ),
-    .A2(_06431_),
-    .B1(_06118_),
-    .B2(_06426_),
-    .X(_04299_),
+ sky130_fd_sc_hd__o22a_1 _14537_ (.A1(\u_usb_host.u_core.status_rx_count_w[8] ),
+    .A2(_06115_),
+    .B1(_05816_),
+    .B2(_06109_),
+    .X(_04051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15515_ (.A(_04291_),
-    .Y(_04300_),
+ sky130_fd_sc_hd__inv_2 _14538_ (.A(_04042_),
+    .Y(_04052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _15516_ (.A1(_04300_),
-    .A2(_04295_),
-    .A3(_04292_),
-    .B1(_06425_),
-    .B2(_06439_),
-    .X(_04301_),
+ sky130_fd_sc_hd__o32a_1 _14539_ (.A1(_04052_),
+    .A2(_04047_),
+    .A3(_04043_),
+    .B1(_06108_),
+    .B2(_06125_),
+    .X(_04053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15517_ (.A(_04301_),
-    .Y(_04302_),
+ sky130_fd_sc_hd__inv_2 _14540_ (.A(_04053_),
+    .Y(_04054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15518_ (.A(_04299_),
-    .B(_04302_),
-    .Y(_04303_),
+ sky130_fd_sc_hd__nand2_1 _14541_ (.A(_04051_),
+    .B(_04054_),
+    .Y(_04055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15519_ (.A1(_04299_),
-    .A2(_04302_),
-    .B1(_04284_),
-    .C1(_04303_),
+ sky130_fd_sc_hd__o211a_1 _14542_ (.A1(_04051_),
+    .A2(_04054_),
+    .B1(_04045_),
+    .C1(_04055_),
     .X(_00606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15520_ (.A1(_06113_),
-    .A2(_06426_),
+ sky130_fd_sc_hd__o22a_1 _14543_ (.A1(_05811_),
+    .A2(_06109_),
     .B1(\u_usb_host.u_core.status_rx_count_w[9] ),
-    .B2(_06431_),
-    .X(_04304_),
+    .B2(_06115_),
+    .X(_04056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15521_ (.A1(_06118_),
-    .A2(_04287_),
-    .B1(_04303_),
-    .Y(_04305_),
+ sky130_fd_sc_hd__o21ai_1 _14544_ (.A1(_05816_),
+    .A2(_04037_),
+    .B1(_04055_),
+    .Y(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15522_ (.A(_04304_),
-    .Y(_04306_),
+ sky130_fd_sc_hd__inv_2 _14545_ (.A(_04056_),
+    .Y(_04058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15523_ (.A(_04305_),
-    .Y(_04307_),
+ sky130_fd_sc_hd__inv_2 _14546_ (.A(_04057_),
+    .Y(_04059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15524_ (.A1(_04304_),
-    .A2(_04305_),
-    .B1(_04306_),
-    .B2(_04307_),
-    .C1(_02998_),
+ sky130_fd_sc_hd__o221a_1 _14547_ (.A1(_04056_),
+    .A2(_04057_),
+    .B1(_04058_),
+    .B2(_04059_),
+    .C1(_04041_),
     .X(_00607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15525_ (.A1(_06108_),
-    .A2(_06426_),
+ sky130_fd_sc_hd__o22a_1 _14548_ (.A1(_05806_),
+    .A2(_06109_),
     .B1(\u_usb_host.u_core.status_rx_count_w[10] ),
-    .B2(_06432_),
-    .X(_04308_),
+    .B2(_06116_),
+    .X(_04060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15526_ (.A(_04303_),
-    .B(_04306_),
-    .X(_04309_),
+ sky130_fd_sc_hd__or2_1 _14549_ (.A(_04055_),
+    .B(_04058_),
+    .X(_04061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15527_ (.A1(_04277_),
-    .A2(_06440_),
-    .B1(_04309_),
-    .Y(_04310_),
+ sky130_fd_sc_hd__o21ai_1 _14550_ (.A1(_06111_),
+    .A2(_06122_),
+    .B1(_04061_),
+    .Y(_04062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15528_ (.A(_04308_),
-    .B(_04310_),
-    .Y(_04311_),
+ sky130_fd_sc_hd__nand2_1 _14551_ (.A(_04060_),
+    .B(_04062_),
+    .Y(_04063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15529_ (.A1(_04308_),
-    .A2(_04310_),
-    .B1(_04284_),
-    .C1(_04311_),
+ sky130_fd_sc_hd__o211a_1 _14552_ (.A1(_04060_),
+    .A2(_04062_),
+    .B1(_04045_),
+    .C1(_04063_),
     .X(_00608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15530_ (.A1(_06103_),
-    .A2(_06432_),
+ sky130_fd_sc_hd__o22a_1 _14553_ (.A1(_05801_),
+    .A2(_06116_),
     .B1(\u_usb_host.u_core.status_rx_count_w[11] ),
-    .B2(_06427_),
-    .X(_04312_),
+    .B2(_06110_),
+    .X(_04064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15531_ (.A(_04312_),
-    .Y(_04313_),
+ sky130_fd_sc_hd__inv_2 _14554_ (.A(_04064_),
+    .Y(_04065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15532_ (.A1(_06108_),
-    .A2(_04287_),
-    .B1(_04311_),
-    .Y(_04314_),
+ sky130_fd_sc_hd__o21ai_1 _14555_ (.A1(_05806_),
+    .A2(_04037_),
+    .B1(_04063_),
+    .Y(_04066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15533_ (.A(_04314_),
-    .Y(_04315_),
+ sky130_fd_sc_hd__inv_2 _14556_ (.A(_04066_),
+    .Y(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15534_ (.A1(_04313_),
-    .A2(_04314_),
-    .B1(_04312_),
-    .B2(_04315_),
-    .C1(_04269_),
+ sky130_fd_sc_hd__o221a_1 _14557_ (.A1(_04065_),
+    .A2(_04066_),
+    .B1(_04064_),
+    .B2(_04067_),
+    .C1(_04041_),
     .X(_00609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15535_ (.A1(_06098_),
-    .A2(_04277_),
+ sky130_fd_sc_hd__o22a_1 _14558_ (.A1(_05796_),
+    .A2(_06110_),
     .B1(\u_usb_host.u_core.status_rx_count_w[12] ),
-    .B2(_06433_),
-    .X(_04316_),
+    .B2(_06117_),
+    .X(_04068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15536_ (.A(_04308_),
-    .Y(_04317_),
+ sky130_fd_sc_hd__inv_2 _14559_ (.A(_04060_),
+    .Y(_04069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _15537_ (.A1(_04317_),
-    .A2(_04312_),
-    .A3(_04309_),
-    .B1(_06427_),
-    .B2(_06441_),
-    .X(_04318_),
+ sky130_fd_sc_hd__o32a_1 _14560_ (.A1(_04069_),
+    .A2(_04064_),
+    .A3(_04061_),
+    .B1(_06110_),
+    .B2(_06123_),
+    .X(_04070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15538_ (.A(_04318_),
-    .Y(_04319_),
+ sky130_fd_sc_hd__inv_2 _14561_ (.A(_04070_),
+    .Y(_04071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15539_ (.A(_02997_),
-    .X(_04320_),
+ sky130_fd_sc_hd__nand2_1 _14562_ (.A(_04068_),
+    .B(_04071_),
+    .Y(_04072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15540_ (.A(_04316_),
-    .B(_04319_),
-    .Y(_04321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15541_ (.A1(_04316_),
-    .A2(_04319_),
-    .B1(_04320_),
-    .C1(_04321_),
+ sky130_fd_sc_hd__o211a_1 _14563_ (.A1(_04068_),
+    .A2(_04071_),
+    .B1(_04045_),
+    .C1(_04072_),
     .X(_00610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15542_ (.A(_06092_),
-    .B(_06433_),
-    .Y(_04322_),
+ sky130_fd_sc_hd__nor2_1 _14564_ (.A(\u_usb_host.u_core.status_rx_count_w[13] ),
+    .B(_06117_),
+    .Y(_04073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _15543_ (.A1(_06092_),
-    .A2(_04274_),
-    .B1(_04322_),
-    .Y(_04323_),
+ sky130_fd_sc_hd__a21oi_1 _14565_ (.A1(\u_usb_host.u_core.status_rx_count_w[13] ),
+    .A2(_04026_),
+    .B1(_04073_),
+    .Y(_04074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15544_ (.A1(_06098_),
-    .A2(_04279_),
-    .B1(_04321_),
-    .Y(_04324_),
+ sky130_fd_sc_hd__o21ai_1 _14566_ (.A1(_05796_),
+    .A2(_04030_),
+    .B1(_04072_),
+    .Y(_04075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15545_ (.A(_04323_),
-    .B(_04324_),
-    .Y(_04325_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14567_ (.A(_06138_),
+    .X(_04076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15546_ (.A1(_04323_),
-    .A2(_04324_),
-    .B1(_04320_),
-    .C1(_04325_),
+ sky130_fd_sc_hd__nand2_1 _14568_ (.A(_04074_),
+    .B(_04075_),
+    .Y(_04077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o211a_1 _14569_ (.A1(_04074_),
+    .A2(_04075_),
+    .B1(_04076_),
+    .C1(_04077_),
     .X(_00611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15547_ (.A1(_06085_),
-    .A2(_04278_),
+ sky130_fd_sc_hd__o22a_1 _14570_ (.A1(_05784_),
+    .A2(_04029_),
     .B1(\u_usb_host.u_core.status_rx_count_w[14] ),
-    .B2(_04274_),
-    .X(_04326_),
+    .B2(_04026_),
+    .X(_04078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _15548_ (.A1(_04278_),
-    .A2(_06436_),
-    .B1(_04321_),
-    .B2(_04322_),
-    .Y(_04327_),
+ sky130_fd_sc_hd__o22ai_1 _14571_ (.A1(_04029_),
+    .A2(_06120_),
+    .B1(_04072_),
+    .B2(_04073_),
+    .Y(_04079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15549_ (.A(_04326_),
-    .B(_04327_),
-    .Y(_04328_),
+ sky130_fd_sc_hd__nand2_1 _14572_ (.A(_04078_),
+    .B(_04079_),
+    .Y(_04080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15550_ (.A1(_04326_),
-    .A2(_04327_),
-    .B1(_04320_),
-    .C1(_04328_),
+ sky130_fd_sc_hd__o211a_1 _14573_ (.A1(_04078_),
+    .A2(_04079_),
+    .B1(_04076_),
+    .C1(_04080_),
     .X(_00612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15551_ (.A1(_06085_),
-    .A2(_04279_),
-    .B1(_04328_),
-    .Y(_04329_),
+ sky130_fd_sc_hd__o21ai_1 _14574_ (.A1(_05784_),
+    .A2(_04030_),
+    .B1(_04080_),
+    .Y(_04081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15552_ (.A1(\u_usb_host.u_core.status_rx_count_w[15] ),
-    .A2(_04274_),
-    .B1(_06077_),
-    .B2(_04279_),
-    .X(_04330_),
+ sky130_fd_sc_hd__o22a_1 _14575_ (.A1(\u_usb_host.u_core.status_rx_count_w[15] ),
+    .A2(_04026_),
+    .B1(_05776_),
+    .B2(_04030_),
+    .X(_04082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15553_ (.A(_04329_),
-    .B(_04330_),
-    .Y(_04331_),
+ sky130_fd_sc_hd__nand2_1 _14576_ (.A(_04081_),
+    .B(_04082_),
+    .Y(_04083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _15554_ (.A1(_04329_),
-    .A2(_04330_),
-    .B1(_04320_),
-    .C1(_04331_),
+ sky130_fd_sc_hd__o211a_1 _14577_ (.A1(_04081_),
+    .A2(_04082_),
+    .B1(_04076_),
+    .C1(_04083_),
     .X(_00613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15555_ (.A1(_00182_),
-    .A2(_06483_),
-    .B1(_00185_),
-    .B2(_06480_),
-    .X(_04332_),
+ sky130_fd_sc_hd__or2_1 _14578_ (.A(_06174_),
+    .B(\u_usb_host.u_core.u_sie.send_sof_q ),
+    .X(_04084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _15556_ (.A1(_07111_),
-    .A2(_02987_),
-    .B1(_04332_),
-    .Y(_04333_),
+ sky130_fd_sc_hd__clkbuf_1 _14579_ (.A(_04084_),
+    .X(_00203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _15557_ (.A1(_00180_),
-    .A2(_06491_),
-    .A3(_06485_),
-    .B1(_06489_),
-    .B2(_07053_),
-    .X(_04334_),
+ sky130_fd_sc_hd__clkinv_2 _14580_ (.A(_00203_),
+    .Y(_00195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4bb_1 _15558_ (.A(_03033_),
-    .B(_04333_),
-    .C_N(_02992_),
-    .D_N(_04334_),
-    .X(_00187_),
+ sky130_fd_sc_hd__or2_1 _14581_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .B(_06098_),
+    .X(_04085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15559_ (.A1(_05574_),
-    .A2(_05687_),
+ sky130_fd_sc_hd__clkbuf_1 _14582_ (.A(_04085_),
+    .X(_00198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_1 _14583_ (.A(_00194_),
+    .B(_00187_),
+    .Y(_04086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o311a_1 _14584_ (.A1(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .A2(_00191_),
+    .A3(_00198_),
+    .B1(_07924_),
+    .C1(_04086_),
+    .X(_04087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o211a_1 _14585_ (.A1(_00196_),
+    .A2(_06669_),
+    .B1(_07956_),
+    .C1(_04087_),
+    .X(_04088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o311a_1 _14586_ (.A1(_06722_),
+    .A2(_06126_),
+    .A3(_07963_),
+    .B1(_07927_),
+    .C1(_04088_),
+    .X(_04089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _14587_ (.A(_04089_),
+    .Y(_00197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14588_ (.A1(_00199_),
+    .A2(_07926_),
+    .B1(_06096_),
+    .X(_04090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_1 _14589_ (.A1(_06722_),
+    .A2(_00189_),
+    .B1(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
+    .B2(_00184_),
+    .Y(_04091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o211a_1 _14590_ (.A1(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .A2(_06661_),
+    .B1(_06674_),
+    .C1(_04091_),
+    .X(_04092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14591_ (.A(_00201_),
+    .B(_06159_),
+    .X(_04093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14592_ (.A1(_06104_),
+    .A2(_07924_),
+    .B1(_00202_),
+    .B2(_06188_),
+    .C1(_04093_),
+    .X(_04094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o31a_1 _14593_ (.A1(_06163_),
+    .A2(_06667_),
+    .A3(_07922_),
+    .B1(_04094_),
+    .X(_04095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o2111a_1 _14594_ (.A1(_00204_),
+    .A2(_06669_),
+    .B1(_04092_),
+    .C1(_04095_),
+    .D1(_07964_),
+    .X(_04096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_1 _14595_ (.A1(_04076_),
+    .A2(_04090_),
+    .B1(_04096_),
+    .Y(_00205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _14596_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .Y(_00662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14597_ (.A(_06283_),
+    .X(_04097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14598_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .B(_04097_),
+    .Y(_00663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_1 _14599_ (.A(\u_usb_host.u_core.u_fifo_tx.count[1] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .X(_04098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14600_ (.A(_06273_),
+    .B(_04098_),
+    .Y(_00665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14601_ (.A(_04097_),
+    .B(_00665_),
+    .Y(_00664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_1 _14602_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[1] ),
+    .A2(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .A3(\u_usb_host.u_core.u_fifo_tx.count[2] ),
+    .B1(_06272_),
+    .B2(_06273_),
+    .X(_04099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14603_ (.A(_04097_),
+    .B(_04099_),
+    .Y(_00666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_1 _14604_ (.A(\u_usb_host.u_core.u_fifo_tx.count[2] ),
+    .B(_04098_),
+    .Y(_04100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14605_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[2] ),
+    .A2(_04098_),
+    .B1(_04100_),
+    .X(_00667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_1 _14606_ (.A1(_06272_),
+    .A2(_06273_),
+    .B1(_06274_),
+    .Y(_04101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14607_ (.A1(_06275_),
+    .A2(_04101_),
+    .B1(_06284_),
+    .X(_00668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14608_ (.A(_06274_),
+    .B(_04100_),
+    .Y(_04102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_1 _14609_ (.A1(_06274_),
+    .A2(_04100_),
+    .B1(_04102_),
+    .Y(_00669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14610_ (.A1(_06271_),
+    .A2(_06275_),
+    .B1(_06276_),
+    .X(_04103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14611_ (.A(_04097_),
+    .B(_04103_),
+    .Y(_00670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_1 _14612_ (.A(\u_usb_host.u_core.u_fifo_tx.count[4] ),
+    .B(_04102_),
+    .Y(_04104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14613_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[4] ),
+    .A2(_04102_),
+    .B1(_04104_),
+    .X(_00671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_1 _14614_ (.A(\u_usb_host.u_core.u_fifo_tx.count[5] ),
+    .B(_06276_),
+    .Y(_04105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_1 _14615_ (.A1(_06277_),
+    .A2(_04105_),
+    .B1(_06283_),
+    .Y(_00672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14616_ (.A(_04104_),
+    .Y(_04106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_1 _14617_ (.A(\u_usb_host.u_core.u_fifo_tx.count[5] ),
+    .B(_04106_),
+    .Y(_04107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14618_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[5] ),
+    .A2(_04106_),
+    .B1(_04107_),
+    .X(_00673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_1 _14619_ (.A(\u_usb_host.u_core.u_fifo_tx.count[6] ),
+    .B(_06277_),
+    .C(_06284_),
+    .X(_04108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14620_ (.A(_04108_),
+    .X(_00674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_1 _14621_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[5] ),
+    .A2(_04106_),
+    .A3(_06280_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.count[6] ),
+    .B2(_04107_),
+    .X(_00675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _14622_ (.A(\u_usb_host.u_core.u_fifo_rx.count[0] ),
+    .Y(_00676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14623_ (.A(_06445_),
+    .X(_04109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14624_ (.A(\u_usb_host.u_core.u_fifo_rx.count[0] ),
+    .B(_04109_),
+    .Y(_00677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_1 _14625_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
+    .B(\u_usb_host.u_core.u_fifo_rx.count[0] ),
+    .X(_04110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14626_ (.A(_06376_),
+    .B(_04110_),
+    .Y(_00679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14627_ (.A(_04109_),
+    .B(_00679_),
+    .Y(_00678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_1 _14628_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[1] ),
+    .A2(\u_usb_host.u_core.u_fifo_rx.count[0] ),
+    .A3(\u_usb_host.u_core.u_fifo_rx.count[2] ),
+    .B1(_06375_),
+    .B2(_06376_),
+    .X(_04111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14629_ (.A(_04109_),
+    .B(_04111_),
+    .Y(_00680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_1 _14630_ (.A(\u_usb_host.u_core.u_fifo_rx.count[2] ),
+    .B(_04110_),
+    .Y(_04112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14631_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[2] ),
+    .A2(_04110_),
+    .B1(_04112_),
+    .X(_00681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_1 _14632_ (.A1(_06375_),
+    .A2(_06376_),
+    .B1(_06377_),
+    .Y(_04113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14633_ (.A1(_06378_),
+    .A2(_04113_),
+    .B1(_06446_),
+    .X(_00682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14634_ (.A(_06377_),
+    .B(_04112_),
+    .Y(_04114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_1 _14635_ (.A1(_06377_),
+    .A2(_04112_),
+    .B1(_04114_),
+    .Y(_00683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14636_ (.A1(_06374_),
+    .A2(_06378_),
+    .B1(_06379_),
+    .X(_04115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14637_ (.A(_04109_),
+    .B(_04115_),
+    .Y(_00684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_1 _14638_ (.A(\u_usb_host.u_core.u_fifo_rx.count[4] ),
+    .B(_04114_),
+    .Y(_04116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14639_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[4] ),
+    .A2(_04114_),
+    .B1(_04116_),
+    .X(_00685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14640_ (.A1(_06373_),
+    .A2(_06380_),
+    .B1(_06427_),
+    .X(_04117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _14641_ (.A(_06445_),
+    .B(_04117_),
+    .Y(_00686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14642_ (.A(_06373_),
+    .B(_04116_),
+    .X(_04118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14643_ (.A(_04118_),
+    .Y(_04119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_1 _14644_ (.A1(_06373_),
+    .A2(_04116_),
+    .B1(_04119_),
+    .Y(_00687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_1 _14645_ (.A(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .B(_06427_),
+    .C(_06446_),
+    .X(_04120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14646_ (.A(_04120_),
+    .X(_00688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14647_ (.A(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .Y(_04121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14648_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .A2(_04119_),
+    .B1(_04121_),
+    .B2(_04118_),
+    .X(_00689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_1 _14649_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .C(_07923_),
+    .X(_04122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _14650_ (.A(_04122_),
+    .X(_00190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14651_ (.A1(_05328_),
+    .A2(_05430_),
+    .B1(_05305_),
+    .X(_04123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a31o_1 _14652_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .B1(_04123_),
+    .X(_00717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14653_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .A2(_05430_),
+    .B1(_05328_),
+    .B2(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .X(_00719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _14654_ (.A(_00719_),
+    .Y(_00718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_1 _14655_ (.A1(_05340_),
+    .A2(_05426_),
+    .B1(_05344_),
+    .X(_04124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a31o_1 _14656_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .B1(_04124_),
+    .X(_00720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14657_ (.A1(_05340_),
+    .A2(_05426_),
     .B1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .B2(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .X(_00721_),
@@ -236047,8427 +232724,7989 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15560_ (.A(_00721_),
-    .Y(_00190_),
+ sky130_fd_sc_hd__clkinv_2 _14658_ (.A(_00721_),
+    .Y(_00173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15561_ (.A(_06629_),
-    .Y(_00662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15562_ (.A(_06617_),
-    .X(_04335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15563_ (.A(_06629_),
-    .B(_04335_),
-    .Y(_00663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _15564_ (.A(\u_usb_host.u_core.u_fifo_tx.count[1] ),
-    .B(\u_usb_host.u_core.u_fifo_tx.count[0] ),
-    .X(_04336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15565_ (.A(_06607_),
-    .B(_04336_),
-    .Y(_00665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15566_ (.A(_04335_),
-    .B(_00665_),
-    .Y(_00664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _15567_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[1] ),
-    .A2(_06629_),
-    .A3(_06628_),
-    .B1(_06606_),
-    .B2(_06607_),
-    .X(_04337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15568_ (.A(_04335_),
-    .B(_04337_),
-    .Y(_00666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15569_ (.A(_06628_),
-    .B(_04336_),
-    .Y(_04338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15570_ (.A1(_06628_),
-    .A2(_04336_),
-    .B1(_04338_),
-    .X(_00667_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _15571_ (.A1(_06606_),
-    .A2(_06607_),
-    .B1(_06608_),
-    .Y(_04339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15572_ (.A1(_06609_),
-    .A2(_04339_),
-    .B1(_06618_),
-    .X(_00668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15573_ (.A(_06608_),
-    .B(_04338_),
-    .Y(_04340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _15574_ (.A1(_06608_),
-    .A2(_04338_),
-    .B1(_04340_),
-    .Y(_00669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15575_ (.A1(_06605_),
-    .A2(_06609_),
-    .B1(_06610_),
-    .X(_04341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15576_ (.A(_04335_),
-    .B(_04341_),
-    .Y(_00670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15577_ (.A(\u_usb_host.u_core.u_fifo_tx.count[4] ),
-    .B(_04340_),
-    .Y(_04342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15578_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[4] ),
-    .A2(_04340_),
-    .B1(_04342_),
-    .X(_00671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15579_ (.A(_06627_),
-    .B(_06610_),
-    .Y(_04343_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _15580_ (.A1(_06611_),
-    .A2(_04343_),
-    .B1(_06617_),
-    .Y(_00672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15581_ (.A(_04342_),
-    .Y(_04344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15582_ (.A(\u_usb_host.u_core.u_fifo_tx.count[5] ),
-    .B(_04344_),
-    .Y(_04345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15583_ (.A1(_06627_),
-    .A2(_04344_),
-    .B1(_04345_),
-    .X(_00673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _15584_ (.A(_06604_),
-    .B(_06611_),
-    .C(_06618_),
-    .X(_00674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _15585_ (.A1(_06627_),
-    .A2(_04344_),
-    .A3(_06614_),
-    .B1(_06604_),
-    .B2(_04345_),
-    .X(_00675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15586_ (.A(_06815_),
-    .Y(_00676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15587_ (.A(_06806_),
-    .X(_04346_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15588_ (.A(_06815_),
-    .B(_04346_),
-    .Y(_00677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _15589_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
-    .B(\u_usb_host.u_core.u_fifo_rx.count[0] ),
-    .X(_04347_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15590_ (.A(_06726_),
-    .B(_04347_),
-    .Y(_00679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15591_ (.A(_04346_),
-    .B(_00679_),
-    .Y(_00678_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _15592_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[1] ),
-    .A2(_06815_),
-    .A3(_06814_),
-    .B1(_06725_),
-    .B2(_06726_),
-    .X(_04348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15593_ (.A(_04346_),
-    .B(_04348_),
-    .Y(_00680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15594_ (.A(_06814_),
-    .B(_04347_),
-    .Y(_04349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15595_ (.A1(_06814_),
-    .A2(_04347_),
-    .B1(_04349_),
-    .X(_00681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _15596_ (.A1(_06725_),
-    .A2(_06726_),
-    .B1(_06727_),
-    .Y(_04350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15597_ (.A1(_06728_),
-    .A2(_04350_),
-    .B1(_06807_),
-    .X(_00682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15598_ (.A(_06727_),
-    .B(_04349_),
-    .Y(_04351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _15599_ (.A1(_06727_),
-    .A2(_04349_),
-    .B1(_04351_),
-    .Y(_00683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15600_ (.A1(_06724_),
-    .A2(_06728_),
-    .B1(_06729_),
-    .X(_04352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15601_ (.A(_04346_),
-    .B(_04352_),
-    .Y(_00684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _15602_ (.A(\u_usb_host.u_core.u_fifo_rx.count[4] ),
-    .B(_04351_),
-    .Y(_04353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15603_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[4] ),
-    .A2(_04351_),
-    .B1(_04353_),
-    .X(_00685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15604_ (.A1(_06723_),
-    .A2(_06730_),
-    .B1(_06784_),
-    .X(_04354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _15605_ (.A(_06806_),
-    .B(_04354_),
-    .Y(_00686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15606_ (.A(_06723_),
-    .B(_04353_),
-    .X(_04355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15607_ (.A(_04355_),
-    .Y(_04356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _15608_ (.A1(_06723_),
-    .A2(_04353_),
-    .B1(_04356_),
-    .Y(_00687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _15609_ (.A(_06811_),
-    .B(_06784_),
-    .C(_06807_),
-    .X(_00688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15610_ (.A(_06811_),
-    .Y(_04357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15611_ (.A1(_06811_),
-    .A2(_04356_),
-    .B1(_04357_),
-    .B2(_04355_),
-    .X(_00689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15612_ (.A1(_05561_),
-    .A2(_05692_),
-    .B1(_05538_),
-    .X(_04358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _15613_ (.A1(_08099_),
-    .A2(_05694_),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .B1(_04358_),
-    .X(_00717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15614_ (.A1(_08099_),
-    .A2(_05692_),
-    .B1(_05561_),
-    .B2(_05694_),
-    .X(_00719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15615_ (.A(_00719_),
-    .Y(_00718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _15616_ (.A1(_05574_),
-    .A2(_05687_),
-    .B1(_05578_),
-    .X(_04359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _15617_ (.A1(_05686_),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .B1(_04359_),
-    .X(_00720_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15618_ (.A(_06770_),
-    .X(_04360_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15619_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+ sky130_fd_sc_hd__or4_4 _14659_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
     .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
-    .C(_06793_),
-    .D(_04360_),
-    .X(_04361_),
+    .C(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .X(_04125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15620_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
+ sky130_fd_sc_hd__or2_1 _14660_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
     .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
-    .X(_04362_),
+    .X(_04126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15621_ (.A(_04362_),
-    .X(_04363_),
+ sky130_fd_sc_hd__buf_2 _14661_ (.A(_04126_),
+    .X(_04127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _15622_ (.A(_04361_),
-    .B(_04363_),
+ sky130_fd_sc_hd__nor2_8 _14662_ (.A(_04125_),
+    .B(_04127_),
     .Y(_00722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15623_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
-    .Y(_04364_),
+ sky130_fd_sc_hd__inv_2 _14663_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
+    .Y(_04128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15624_ (.A(_06791_),
+ sky130_fd_sc_hd__clkbuf_1 _14664_ (.A(_06423_),
+    .X(_04129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14665_ (.A(_06434_),
+    .X(_04130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_2 _14666_ (.A(_04130_),
+    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .C(_06441_),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .X(_04131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14667_ (.A(_04129_),
+    .B(_04131_),
+    .X(_04132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14668_ (.A(_04132_),
+    .X(_04133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14669_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
+    .Y(_04134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14670_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
+    .B(_06413_),
+    .X(_04135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14671_ (.A(_04135_),
+    .X(_04136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _14672_ (.A(_04136_),
+    .X(_04137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14673_ (.A(_06416_),
+    .X(_04138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _14674_ (.A(_06441_),
+    .B(_04138_),
+    .C(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .X(_04139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14675_ (.A(_04137_),
+    .B(_04139_),
+    .X(_04140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14676_ (.A(_04140_),
+    .X(_04141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14677_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ),
+    .Y(_04142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14678_ (.A(_06421_),
+    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
+    .X(_04143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14679_ (.A(_04143_),
+    .X(_04144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14680_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .Y(_04145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14681_ (.A(_04145_),
+    .X(_04146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _14682_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .B(_04146_),
+    .C(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .D(_06443_),
+    .X(_04147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14683_ (.A(_04144_),
+    .B(_04147_),
+    .X(_04148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14684_ (.A(_04148_),
+    .X(_04149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14685_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
+    .Y(_04150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_2 _14686_ (.A(_06435_),
+    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .C(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .D(_06443_),
+    .X(_04151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14687_ (.A(_04151_),
+    .B(_04144_),
+    .X(_04152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14688_ (.A(_04152_),
+    .X(_04153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14689_ (.A1(_04142_),
+    .A2(_04149_),
+    .B1(_04150_),
+    .B2(_04153_),
+    .X(_04154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14690_ (.A1(_04128_),
+    .A2(_04133_),
+    .B1(_04134_),
+    .B2(_04141_),
+    .C1(_04154_),
+    .X(_04155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14691_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
+    .Y(_04156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _14692_ (.A(_04136_),
+    .X(_04157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_2 _14693_ (.A(_04130_),
+    .B(_04146_),
+    .C(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .D(_04138_),
+    .X(_04158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14694_ (.A(_04157_),
+    .B(_04158_),
+    .X(_04159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14695_ (.A(_04159_),
+    .X(_04160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14696_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
+    .Y(_04161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14697_ (.A(_04143_),
+    .X(_04162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14698_ (.A(_04162_),
+    .X(_04163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_2 _14699_ (.A(_06434_),
+    .B(_04145_),
+    .C(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .X(_04164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14700_ (.A(_04163_),
+    .B(_04164_),
+    .X(_04165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14701_ (.A(_04165_),
+    .X(_04166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14702_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
+    .Y(_04167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _14703_ (.A(_06423_),
+    .X(_04168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14704_ (.A(_04168_),
+    .B(_04139_),
+    .X(_04169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14705_ (.A(_04169_),
+    .X(_04170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14706_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
+    .Y(_04171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14707_ (.A(_04135_),
+    .X(_04172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14708_ (.A(_04172_),
+    .B(_04147_),
+    .X(_04173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14709_ (.A(_04173_),
+    .X(_04174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14710_ (.A1(_04167_),
+    .A2(_04170_),
+    .B1(_04171_),
+    .B2(_04174_),
+    .X(_04175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14711_ (.A1(_04156_),
+    .A2(_04160_),
+    .B1(_04161_),
+    .B2(_04166_),
+    .C1(_04175_),
+    .X(_04176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14712_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
+    .Y(_04177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14713_ (.A(_04129_),
+    .B(_04151_),
+    .X(_04178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14714_ (.A(_04178_),
+    .X(_04179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14715_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
+    .Y(_04180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14716_ (.A(_04125_),
+    .B(_04137_),
+    .X(_04181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14717_ (.A(_04181_),
+    .X(_04182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14718_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
+    .Y(_04183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14719_ (.A(_06423_),
+    .X(_04184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _14720_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .B(_04146_),
+    .C(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .X(_04185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14721_ (.A(_04184_),
+    .B(_04185_),
+    .X(_04186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14722_ (.A(_04186_),
+    .X(_04187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14723_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
+    .Y(_04188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_2 _14724_ (.A(_06442_),
+    .B(_04138_),
+    .C(_06435_),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .X(_04189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14725_ (.A(_04168_),
+    .B(_04189_),
+    .X(_04190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14726_ (.A(_04190_),
+    .X(_04191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14727_ (.A1(_04183_),
+    .A2(_04187_),
+    .B1(_04188_),
+    .B2(_04191_),
+    .X(_04192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14728_ (.A1(_04177_),
+    .A2(_04179_),
+    .B1(_04180_),
+    .B2(_04182_),
+    .C1(_04192_),
+    .X(_04193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14729_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
+    .Y(_04194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _14730_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .C(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .D(_04138_),
+    .X(_04195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14731_ (.A(_04157_),
+    .B(_04195_),
+    .X(_04196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14732_ (.A(_04196_),
+    .X(_04197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14733_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
+    .Y(_04198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14734_ (.A(_04163_),
+    .B(_04158_),
+    .X(_04199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14735_ (.A(_04199_),
+    .X(_04200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14736_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
+    .Y(_04201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _14737_ (.A(_04126_),
+    .X(_04202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14738_ (.A(_04202_),
+    .B(_04185_),
+    .X(_04203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14739_ (.A(_04203_),
+    .X(_04204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14740_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
+    .Y(_04205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_2 _14741_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .C(_04130_),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .X(_04206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14742_ (.A(_06424_),
+    .B(_04206_),
+    .X(_04207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14743_ (.A(_04207_),
+    .X(_04208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14744_ (.A1(_04201_),
+    .A2(_04204_),
+    .B1(_04205_),
+    .B2(_04208_),
+    .X(_04209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14745_ (.A1(_04194_),
+    .A2(_04197_),
+    .B1(_04198_),
+    .B2(_04200_),
+    .C1(_04209_),
+    .X(_04210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _14746_ (.A(_04155_),
+    .B(_04176_),
+    .C(_04193_),
+    .D(_04210_),
+    .X(_04211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14747_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
+    .Y(_04212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14748_ (.A(_04129_),
+    .B(_04147_),
+    .X(_04213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14749_ (.A(_04213_),
+    .X(_04214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14750_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
+    .Y(_04215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14751_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
+    .Y(_04216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14752_ (.A(_04184_),
+    .B(_04158_),
+    .X(_04217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14753_ (.A(_04217_),
+    .X(_04218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14754_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
+    .Y(_04219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14755_ (.A(_04168_),
+    .B(_04195_),
+    .X(_04220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14756_ (.A(_04220_),
+    .X(_04221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14757_ (.A1(_04216_),
+    .A2(_04218_),
+    .B1(_04219_),
+    .B2(_04221_),
+    .X(_04222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14758_ (.A1(_04212_),
+    .A2(_04214_),
+    .B1(_04215_),
+    .B2(_06426_),
+    .C1(_04222_),
+    .X(_04223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14759_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
+    .Y(_04224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14760_ (.A(_04136_),
+    .X(_04225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14761_ (.A(_06419_),
+    .B(_04225_),
+    .X(_04226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14762_ (.A(_04226_),
+    .X(_04227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14763_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
+    .Y(_04228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14764_ (.A(_04225_),
+    .B(_04151_),
+    .X(_04229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14765_ (.A(_04229_),
+    .X(_04230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14766_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
+    .Y(_04231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14767_ (.A(_04184_),
+    .B(_04164_),
+    .X(_04232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14768_ (.A(_04232_),
+    .X(_04233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14769_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
+    .Y(_04234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14770_ (.A(_04202_),
+    .B(_04164_),
+    .X(_04235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14771_ (.A(_04235_),
+    .X(_04236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14772_ (.A1(_04231_),
+    .A2(_04233_),
+    .B1(_04234_),
+    .B2(_04236_),
+    .X(_04237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14773_ (.A1(_04224_),
+    .A2(_04227_),
+    .B1(_04228_),
+    .B2(_04230_),
+    .C1(_04237_),
+    .X(_04238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14774_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
+    .Y(_04239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_2 _14775_ (.A(_04130_),
+    .B(_04146_),
+    .C(_06442_),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .X(_04240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14776_ (.A(_06424_),
+    .B(_04240_),
+    .X(_04241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14777_ (.A(_04241_),
+    .X(_04242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14778_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
+    .Y(_04243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_2 _14779_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .C(_06442_),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .X(_04244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14780_ (.A(_06424_),
+    .B(_04244_),
+    .X(_04245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14781_ (.A(_04245_),
+    .X(_04246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14782_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
+    .Y(_04247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_1 _14783_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .B(_04145_),
+    .C(_06441_),
+    .D(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .X(_04248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14784_ (.A(_04184_),
+    .B(_04248_),
+    .X(_04249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14785_ (.A(_04249_),
+    .X(_04250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14786_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
+    .Y(_04251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14787_ (.A(_04168_),
+    .B(_04125_),
+    .X(_04252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14788_ (.A(_04252_),
+    .X(_04253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14789_ (.A1(_04247_),
+    .A2(_04250_),
+    .B1(_04251_),
+    .B2(_04253_),
+    .X(_04254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14790_ (.A1(_04239_),
+    .A2(_04242_),
+    .B1(_04243_),
+    .B2(_04246_),
+    .C1(_04254_),
+    .X(_04255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14791_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
+    .Y(_04256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _14792_ (.A(_04143_),
+    .X(_04257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14793_ (.A(_04125_),
+    .B(_04257_),
+    .X(_04258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14794_ (.A(_04258_),
+    .X(_04259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14795_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
+    .Y(_04260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14796_ (.A(_06438_),
+    .Y(_04261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14797_ (.A(_04261_),
+    .B(_04257_),
+    .X(_04262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14798_ (.A(_04262_),
+    .X(_04263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14799_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
+    .Y(_04264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14800_ (.A(_04195_),
+    .B(_04143_),
+    .X(_04265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14801_ (.A(_04265_),
+    .X(_04266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14802_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
+    .Y(_04267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14803_ (.A(_04162_),
+    .B(_04244_),
+    .X(_04268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14804_ (.A(_04268_),
+    .X(_04269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14805_ (.A1(_04264_),
+    .A2(_04266_),
+    .B1(_04267_),
+    .B2(_04269_),
+    .X(_04270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14806_ (.A1(_04256_),
+    .A2(_04259_),
+    .B1(_04260_),
+    .B2(_04263_),
+    .C1(_04270_),
+    .X(_04271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _14807_ (.A(_04223_),
+    .B(_04238_),
+    .C(_04255_),
+    .D(_04271_),
+    .X(_04272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14808_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
+    .Y(_04273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14809_ (.A(_04127_),
+    .B(_04147_),
+    .X(_04274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14810_ (.A(_04274_),
+    .X(_04275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14811_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ),
+    .Y(_04276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14812_ (.A(_04185_),
+    .B(_04137_),
+    .X(_04277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14813_ (.A(_04277_),
+    .X(_04278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14814_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
+    .Y(_04279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14815_ (.A(_04202_),
+    .B(_04131_),
+    .X(_04280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14816_ (.A(_04280_),
+    .X(_04281_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14817_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
+    .Y(_04282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14818_ (.A(_04225_),
+    .B(_04164_),
+    .X(_04283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14819_ (.A(_04283_),
+    .X(_04284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14820_ (.A1(_04279_),
+    .A2(_04281_),
+    .B1(_04282_),
+    .B2(_04284_),
+    .X(_04285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14821_ (.A1(_04273_),
+    .A2(_04275_),
+    .B1(_04276_),
+    .B2(_04278_),
+    .C1(_04285_),
+    .X(_04286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14822_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
+    .Y(_04287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14823_ (.A(_04126_),
+    .X(_04288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14824_ (.A(_04288_),
+    .B(_04139_),
+    .X(_04289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14825_ (.A(_04289_),
+    .X(_04290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14826_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
+    .Y(_04291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14827_ (.A(_06420_),
+    .B(_04163_),
+    .X(_04292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14828_ (.A(_04292_),
+    .X(_04293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14829_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
+    .Y(_04294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14830_ (.A(_04136_),
+    .B(_04131_),
+    .X(_04295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14831_ (.A(_04295_),
+    .X(_04296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14832_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
+    .Y(_04297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14833_ (.A(_04144_),
+    .B(_04240_),
+    .X(_04298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14834_ (.A(_04298_),
+    .X(_04299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14835_ (.A1(_04294_),
+    .A2(_04296_),
+    .B1(_04297_),
+    .B2(_04299_),
+    .X(_04300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14836_ (.A1(_04287_),
+    .A2(_04290_),
+    .B1(_04291_),
+    .B2(_04293_),
+    .C1(_04300_),
+    .X(_04301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14837_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ),
+    .Y(_04302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14838_ (.A(_04248_),
+    .X(_04303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14839_ (.A(_04257_),
+    .B(_04303_),
+    .X(_04304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14840_ (.A(_04304_),
+    .X(_04305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14841_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
+    .Y(_04306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14842_ (.A(_04126_),
+    .X(_04307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14843_ (.A(_04307_),
+    .B(_04158_),
+    .X(_04308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14844_ (.A(_04308_),
+    .X(_04309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14845_ (.A(_04157_),
+    .X(_04310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14846_ (.A(_04303_),
+    .X(_04311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3b_1 _14847_ (.A(_04310_),
+    .B(_04311_),
+    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
+    .X(_04312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14848_ (.A1(_04302_),
+    .A2(_04305_),
+    .B1(_04306_),
+    .B2(_04309_),
+    .C1(_04312_),
+    .X(_04313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14849_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ),
+    .Y(_04314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14850_ (.A(_04288_),
+    .B(_04303_),
+    .X(_04315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14851_ (.A(_04315_),
+    .X(_04316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14852_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
+    .Y(_04317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14853_ (.A(_04307_),
+    .B(_04189_),
+    .X(_04318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14854_ (.A(_04318_),
+    .X(_04319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14855_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
+    .Y(_04320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14856_ (.A(_04202_),
+    .B(_04195_),
+    .X(_04321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14857_ (.A(_04321_),
+    .X(_04322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14858_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
+    .Y(_04323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14859_ (.A(_04288_),
+    .B(_04240_),
+    .X(_04324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14860_ (.A(_04324_),
+    .X(_04325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14861_ (.A1(_04320_),
+    .A2(_04322_),
+    .B1(_04323_),
+    .B2(_04325_),
+    .X(_04326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14862_ (.A1(_04314_),
+    .A2(_04316_),
+    .B1(_04317_),
+    .B2(_04319_),
+    .C1(_04326_),
+    .X(_04327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _14863_ (.A(_04286_),
+    .B(_04301_),
+    .C(_04313_),
+    .D(_04327_),
+    .X(_04328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14864_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ),
+    .Y(_04329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14865_ (.A(_04261_),
+    .B(_04127_),
+    .X(_04330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14866_ (.A(_04330_),
+    .X(_04331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14867_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
+    .Y(_04332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14868_ (.A(_04261_),
+    .B(_04137_),
+    .X(_04333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14869_ (.A(_04333_),
+    .X(_04334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14870_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
+    .Y(_04335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14871_ (.A(_04172_),
+    .B(_04206_),
+    .X(_04336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14872_ (.A(_04336_),
+    .X(_04337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14873_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
+    .Y(_04338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14874_ (.A(_04225_),
+    .B(_04240_),
+    .X(_04339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14875_ (.A(_04339_),
+    .X(_04340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14876_ (.A1(_04335_),
+    .A2(_04337_),
+    .B1(_04338_),
+    .B2(_04340_),
+    .X(_04341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14877_ (.A1(_04329_),
+    .A2(_04331_),
+    .B1(_04332_),
+    .B2(_04334_),
+    .C1(_04341_),
+    .X(_04342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14878_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
+    .Y(_04343_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14879_ (.A(_04307_),
+    .B(_04206_),
+    .X(_04344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14880_ (.A(_04344_),
+    .X(_04345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14881_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
+    .Y(_04346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14882_ (.A(_06420_),
+    .B(_04127_),
+    .X(_04347_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14883_ (.A(_04347_),
+    .X(_04348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14884_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
+    .Y(_04349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14885_ (.A(_04172_),
+    .B(_04244_),
+    .X(_04350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14886_ (.A(_04350_),
+    .X(_04351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14887_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
+    .Y(_04352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14888_ (.A(_04288_),
+    .B(_04244_),
+    .X(_04353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14889_ (.A(_04353_),
+    .X(_04354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14890_ (.A1(_04349_),
+    .A2(_04351_),
+    .B1(_04352_),
+    .B2(_04354_),
+    .X(_04355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14891_ (.A1(_04343_),
+    .A2(_04345_),
+    .B1(_04346_),
+    .B2(_04348_),
+    .C1(_04355_),
+    .X(_04356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14892_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ),
+    .Y(_04357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_1 _14893_ (.A(_04131_),
+    .B(_04257_),
+    .X(_04358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14894_ (.A(_04358_),
+    .X(_04359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14895_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
+    .Y(_04360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14896_ (.A(_04206_),
+    .B(_04163_),
+    .X(_04361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14897_ (.A(_04361_),
+    .X(_04362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14898_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
+    .Y(_04363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _14899_ (.A(_04185_),
+    .B(_04162_),
+    .X(_04364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _14900_ (.A(_04364_),
     .X(_04365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15625_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
-    .X(_04366_),
+ sky130_fd_sc_hd__inv_2 _14901_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
+    .Y(_04366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15626_ (.A(_04365_),
-    .B(_04360_),
-    .C(_06801_),
-    .D(_04366_),
+ sky130_fd_sc_hd__or2_2 _14902_ (.A(_04172_),
+    .B(_04189_),
     .X(_04367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15627_ (.A(_06781_),
-    .B(_04367_),
+ sky130_fd_sc_hd__clkbuf_1 _14903_ (.A(_04367_),
     .X(_04368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15628_ (.A(_04368_),
+ sky130_fd_sc_hd__o22a_1 _14904_ (.A1(_04363_),
+    .A2(_04365_),
+    .B1(_04366_),
+    .B2(_04368_),
     .X(_04369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15629_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
-    .Y(_04370_),
+ sky130_fd_sc_hd__o221a_1 _14905_ (.A1(_04357_),
+    .A2(_04359_),
+    .B1(_04360_),
+    .B2(_04362_),
+    .C1(_04369_),
+    .X(_04370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15630_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
-    .B(_06768_),
-    .X(_04371_),
+ sky130_fd_sc_hd__inv_2 _14906_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
+    .Y(_04371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15631_ (.A(_04371_),
+ sky130_fd_sc_hd__or2_1 _14907_ (.A(_04307_),
+    .B(_04151_),
     .X(_04372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15632_ (.A(_04372_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14908_ (.A(_04372_),
     .X(_04373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15633_ (.A(_06772_),
-    .X(_04374_),
+ sky130_fd_sc_hd__inv_2 _14909_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
+    .Y(_04374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15634_ (.A(_06770_),
+ sky130_fd_sc_hd__or2_1 _14910_ (.A(_04129_),
+    .B(_04261_),
     .X(_04375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15635_ (.A(_06801_),
-    .B(_04374_),
-    .C(_06793_),
-    .D(_04375_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14911_ (.A(_04375_),
     .X(_04376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15636_ (.A(_04373_),
-    .B(_04376_),
-    .X(_04377_),
+ sky130_fd_sc_hd__inv_2 _14912_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
+    .Y(_04377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15637_ (.A(_04377_),
+ sky130_fd_sc_hd__or2_1 _14913_ (.A(_04162_),
+    .B(_04139_),
     .X(_04378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15638_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ),
-    .Y(_04379_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14914_ (.A(_04378_),
+    .X(_04379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15639_ (.A(_06777_),
-    .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
-    .X(_04380_),
+ sky130_fd_sc_hd__inv_2 _14915_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
+    .Y(_04380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15640_ (.A(_04380_),
+ sky130_fd_sc_hd__or2_1 _14916_ (.A(_04144_),
+    .B(_04189_),
     .X(_04381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15641_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
-    .Y(_04382_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14917_ (.A(_04381_),
+    .X(_04382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15642_ (.A(_04382_),
+ sky130_fd_sc_hd__o22a_1 _14918_ (.A1(_04377_),
+    .A2(_04379_),
+    .B1(_04380_),
+    .B2(_04382_),
     .X(_04383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15643_ (.A(_06793_),
-    .B(_04383_),
-    .C(_06800_),
-    .D(_06803_),
+ sky130_fd_sc_hd__o221a_1 _14919_ (.A1(_04371_),
+    .A2(_04373_),
+    .B1(_04374_),
+    .B2(_04376_),
+    .C1(_04383_),
     .X(_04384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15644_ (.A(_04381_),
-    .B(_04384_),
+ sky130_fd_sc_hd__and4_1 _14920_ (.A(_04342_),
+    .B(_04356_),
+    .C(_04370_),
+    .D(_04384_),
     .X(_04385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15645_ (.A(_04385_),
-    .X(_04386_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15646_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
-    .Y(_04387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15647_ (.A(_06792_),
-    .B(_04375_),
-    .C(_06800_),
-    .D(_06803_),
-    .X(_04388_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15648_ (.A(_04388_),
-    .B(_04381_),
-    .X(_04389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15649_ (.A(_04389_),
-    .X(_04390_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15650_ (.A1(_04379_),
-    .A2(_04386_),
-    .B1(_04387_),
-    .B2(_04390_),
-    .X(_04391_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15651_ (.A1(_04364_),
-    .A2(_04369_),
-    .B1(_04370_),
-    .B2(_04378_),
-    .C1(_04391_),
-    .X(_04392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15652_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
-    .Y(_04393_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15653_ (.A(_04371_),
-    .X(_04394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _15654_ (.A(_04394_),
-    .X(_04395_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15655_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
-    .X(_04396_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15656_ (.A(_04365_),
-    .B(_04383_),
-    .C(_04396_),
-    .D(_04374_),
-    .X(_04397_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15657_ (.A(_04395_),
-    .B(_04397_),
-    .X(_04398_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15658_ (.A(_04398_),
-    .X(_04399_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15659_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
-    .Y(_04400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15660_ (.A(_04380_),
-    .X(_04401_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _15661_ (.A(_04401_),
-    .X(_04402_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15662_ (.A(_06791_),
-    .B(_04382_),
-    .C(_04396_),
-    .D(_04366_),
-    .X(_04403_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15663_ (.A(_04402_),
-    .B(_04403_),
-    .X(_04404_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15664_ (.A(_04404_),
-    .X(_04405_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15665_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
-    .Y(_04406_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15666_ (.A(_06779_),
-    .X(_04407_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15667_ (.A(_04407_),
-    .B(_04376_),
-    .X(_04408_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15668_ (.A(_04408_),
-    .X(_04409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15669_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
-    .Y(_04410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15670_ (.A(_04394_),
-    .X(_04411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15671_ (.A(_04411_),
-    .B(_04384_),
-    .X(_04412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15672_ (.A(_04412_),
-    .X(_04413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15673_ (.A1(_04406_),
-    .A2(_04409_),
-    .B1(_04410_),
-    .B2(_04413_),
-    .X(_04414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15674_ (.A1(_04393_),
-    .A2(_04399_),
-    .B1(_04400_),
-    .B2(_04405_),
-    .C1(_04414_),
-    .X(_04415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15675_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
-    .Y(_04416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15676_ (.A(_06781_),
-    .B(_04388_),
-    .X(_04417_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15677_ (.A(_04417_),
-    .X(_04418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15678_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
-    .Y(_04419_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15679_ (.A(_04361_),
-    .B(_04373_),
-    .X(_04420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15680_ (.A(_04420_),
-    .X(_04421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15681_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
-    .Y(_04422_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15682_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
-    .X(_04423_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15683_ (.A(_04423_),
-    .B(_04383_),
-    .C(_04396_),
-    .D(_06805_),
-    .X(_04424_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15684_ (.A(_06780_),
-    .B(_04424_),
-    .X(_04425_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15685_ (.A(_04425_),
-    .X(_04426_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15686_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
-    .Y(_04427_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15687_ (.A(_06802_),
-    .B(_04374_),
-    .C(_06792_),
-    .D(_04375_),
-    .X(_04428_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15688_ (.A(_04407_),
-    .B(_04428_),
-    .X(_04429_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15689_ (.A(_04429_),
-    .X(_04430_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15690_ (.A1(_04422_),
-    .A2(_04426_),
-    .B1(_04427_),
-    .B2(_04430_),
-    .X(_04431_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15691_ (.A1(_04416_),
-    .A2(_04418_),
-    .B1(_04419_),
-    .B2(_04421_),
-    .C1(_04431_),
-    .X(_04432_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15692_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
-    .Y(_04433_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15693_ (.A(_04423_),
-    .B(_04360_),
-    .C(_04396_),
-    .D(_04374_),
-    .X(_04434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15694_ (.A(_04395_),
-    .B(_04434_),
-    .X(_04435_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15695_ (.A(_04435_),
-    .X(_04436_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15696_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
-    .Y(_04437_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15697_ (.A(_04402_),
-    .B(_04397_),
-    .X(_04438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15698_ (.A(_04438_),
-    .X(_04439_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15699_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
-    .Y(_04440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _15700_ (.A(_04362_),
-    .X(_04441_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15701_ (.A(_04441_),
-    .B(_04424_),
-    .X(_04442_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15702_ (.A(_04442_),
-    .X(_04443_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15703_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
-    .Y(_04444_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15704_ (.A(_06779_),
-    .X(_04445_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15705_ (.A(_06800_),
-    .B(_04366_),
-    .C(_04365_),
-    .D(_04375_),
-    .X(_04446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15706_ (.A(_04445_),
-    .B(_04446_),
-    .X(_04447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15707_ (.A(_04447_),
-    .X(_04448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15708_ (.A1(_04440_),
-    .A2(_04443_),
-    .B1(_04444_),
-    .B2(_04448_),
-    .X(_04449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15709_ (.A1(_04433_),
-    .A2(_04436_),
-    .B1(_04437_),
-    .B2(_04439_),
-    .C1(_04449_),
-    .X(_04450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15710_ (.A(_04392_),
-    .B(_04415_),
-    .C(_04432_),
-    .D(_04450_),
-    .X(_04451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15711_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
-    .Y(_04452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15712_ (.A(_04445_),
-    .B(_04384_),
-    .X(_04453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15713_ (.A(_04453_),
-    .X(_04454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15714_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
-    .Y(_04455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15715_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
-    .Y(_04456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15716_ (.A(_06780_),
-    .B(_04397_),
-    .X(_04457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15717_ (.A(_04457_),
-    .X(_04458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15718_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
-    .Y(_04459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15719_ (.A(_04407_),
-    .B(_04434_),
-    .X(_04460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15720_ (.A(_04460_),
-    .X(_04461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15721_ (.A1(_04456_),
-    .A2(_04458_),
-    .B1(_04459_),
-    .B2(_04461_),
-    .X(_04462_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15722_ (.A1(_04452_),
-    .A2(_04454_),
-    .B1(_04455_),
-    .B2(_06783_),
-    .C1(_04462_),
-    .X(_04463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15723_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
-    .Y(_04464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15724_ (.A(_06775_),
-    .B(_04411_),
-    .X(_04465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15725_ (.A(_04465_),
-    .X(_04466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15726_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
-    .Y(_04467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15727_ (.A(_04411_),
-    .B(_04388_),
-    .X(_04468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15728_ (.A(_04468_),
-    .X(_04469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15729_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
-    .Y(_04470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15730_ (.A(_06780_),
-    .B(_04403_),
-    .X(_04471_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15731_ (.A(_04471_),
-    .X(_04472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15732_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
-    .Y(_04473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15733_ (.A(_04441_),
-    .B(_04403_),
-    .X(_04474_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15734_ (.A(_04474_),
-    .X(_04475_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15735_ (.A1(_04470_),
-    .A2(_04472_),
-    .B1(_04473_),
-    .B2(_04475_),
-    .X(_04476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _15736_ (.A1(_04464_),
-    .A2(_04466_),
-    .B1(_04467_),
-    .B2(_04469_),
-    .C1(_04476_),
-    .X(_04477_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15737_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
-    .Y(_04478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15738_ (.A(_04365_),
-    .B(_04383_),
-    .C(_06802_),
-    .D(_06805_),
-    .X(_04479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15739_ (.A(_04445_),
-    .B(_04479_),
-    .X(_04480_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15740_ (.A(_04480_),
-    .X(_04481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15741_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
-    .Y(_04482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _15742_ (.A(_04423_),
-    .B(_04360_),
-    .C(_06802_),
-    .D(_06805_),
-    .X(_04483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15743_ (.A(_04445_),
-    .B(_04483_),
-    .X(_04484_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15744_ (.A(_04484_),
-    .X(_04485_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15745_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
-    .Y(_04486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_2 _15746_ (.A(_04423_),
-    .B(_04382_),
-    .C(_06801_),
-    .D(_04366_),
-    .X(_04487_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15747_ (.A(_06779_),
-    .B(_04487_),
-    .X(_04488_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15748_ (.A(_04488_),
-    .X(_04489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15749_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
-    .Y(_04490_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15750_ (.A(_04407_),
-    .B(_04361_),
-    .X(_04491_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15751_ (.A(_04491_),
-    .X(_04492_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15752_ (.A1(_04486_),
-    .A2(_04489_),
-    .B1(_04490_),
-    .B2(_04492_),
-    .X(_04493_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15753_ (.A1(_04478_),
-    .A2(_04481_),
-    .B1(_04482_),
-    .B2(_04485_),
-    .C1(_04493_),
-    .X(_04494_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15754_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
-    .Y(_04495_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15755_ (.A(_04380_),
-    .X(_04496_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15756_ (.A(_04361_),
-    .B(_04496_),
-    .X(_04497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15757_ (.A(_04497_),
-    .X(_04498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15758_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
-    .Y(_04499_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15759_ (.A(_06797_),
-    .Y(_04500_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15760_ (.A(_04500_),
-    .B(_04496_),
-    .X(_04501_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15761_ (.A(_04501_),
-    .X(_04502_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15762_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
-    .Y(_04503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15763_ (.A(_04434_),
-    .B(_04380_),
-    .X(_04504_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15764_ (.A(_04504_),
-    .X(_04505_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15765_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
-    .Y(_04506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15766_ (.A(_04401_),
-    .B(_04483_),
-    .X(_04507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15767_ (.A(_04507_),
-    .X(_04508_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15768_ (.A1(_04503_),
-    .A2(_04505_),
-    .B1(_04506_),
-    .B2(_04508_),
-    .X(_04509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15769_ (.A1(_04495_),
-    .A2(_04498_),
-    .B1(_04499_),
-    .B2(_04502_),
-    .C1(_04509_),
-    .X(_04510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15770_ (.A(_04463_),
-    .B(_04477_),
-    .C(_04494_),
-    .D(_04510_),
-    .X(_04511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15771_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
-    .Y(_04512_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15772_ (.A(_04363_),
-    .B(_04384_),
-    .X(_04513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15773_ (.A(_04513_),
-    .X(_04514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15774_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ),
-    .Y(_04515_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15775_ (.A(_04424_),
-    .B(_04373_),
-    .X(_04516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15776_ (.A(_04516_),
-    .X(_04517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15777_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
-    .Y(_04518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15778_ (.A(_04441_),
-    .B(_04367_),
-    .X(_04519_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15779_ (.A(_04519_),
-    .X(_04520_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15780_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
-    .Y(_04521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15781_ (.A(_04372_),
-    .B(_04403_),
-    .X(_04522_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15782_ (.A(_04522_),
-    .X(_04523_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15783_ (.A1(_04518_),
-    .A2(_04520_),
-    .B1(_04521_),
-    .B2(_04523_),
-    .X(_04524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15784_ (.A1(_04512_),
-    .A2(_04514_),
-    .B1(_04515_),
-    .B2(_04517_),
-    .C1(_04524_),
-    .X(_04525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15785_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
-    .Y(_04526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15786_ (.A(_04362_),
-    .X(_04527_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15787_ (.A(_04527_),
-    .B(_04376_),
-    .X(_04528_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15788_ (.A(_04528_),
-    .X(_04529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15789_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
-    .Y(_04530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15790_ (.A(_06775_),
-    .B(_04402_),
-    .X(_04531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15791_ (.A(_04531_),
-    .X(_04532_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15792_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
-    .Y(_04533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15793_ (.A(_04394_),
-    .B(_04367_),
-    .X(_04534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15794_ (.A(_04534_),
-    .X(_04535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15795_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
-    .Y(_04536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15796_ (.A(_04381_),
-    .B(_04479_),
-    .X(_04537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15797_ (.A(_04537_),
-    .X(_04538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15798_ (.A1(_04533_),
-    .A2(_04535_),
-    .B1(_04536_),
-    .B2(_04538_),
-    .X(_04539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15799_ (.A1(_04526_),
-    .A2(_04529_),
-    .B1(_04530_),
-    .B2(_04532_),
-    .C1(_04539_),
-    .X(_04540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15800_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ),
-    .Y(_04541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15801_ (.A(_04487_),
-    .X(_04542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15802_ (.A(_04496_),
-    .B(_04542_),
-    .X(_04543_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15803_ (.A(_04543_),
-    .X(_04544_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15804_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
-    .Y(_04545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _15805_ (.A(_04362_),
-    .X(_04546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15806_ (.A(_04546_),
-    .B(_04397_),
-    .X(_04547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15807_ (.A(_04547_),
-    .X(_04548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15808_ (.A(_04395_),
-    .X(_04549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15809_ (.A(_04542_),
-    .X(_04550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _15810_ (.A(_04549_),
-    .B(_04550_),
-    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
-    .X(_04551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15811_ (.A1(_04541_),
-    .A2(_04544_),
-    .B1(_04545_),
-    .B2(_04548_),
-    .C1(_04551_),
-    .X(_04552_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15812_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ),
-    .Y(_04553_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15813_ (.A(_04527_),
-    .B(_04542_),
-    .X(_04554_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15814_ (.A(_04554_),
-    .X(_04555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15815_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
-    .Y(_04556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15816_ (.A(_04546_),
-    .B(_04428_),
-    .X(_04557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15817_ (.A(_04557_),
-    .X(_04558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15818_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
-    .Y(_04559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15819_ (.A(_04441_),
-    .B(_04434_),
-    .X(_04560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15820_ (.A(_04560_),
-    .X(_04561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15821_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
-    .Y(_04562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15822_ (.A(_04527_),
-    .B(_04479_),
-    .X(_04563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15823_ (.A(_04563_),
-    .X(_04564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _15824_ (.A1(_04559_),
-    .A2(_04561_),
-    .B1(_04562_),
-    .B2(_04564_),
-    .X(_04565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15825_ (.A1(_04553_),
-    .A2(_04555_),
-    .B1(_04556_),
-    .B2(_04558_),
-    .C1(_04565_),
-    .X(_04566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _15826_ (.A(_04525_),
-    .B(_04540_),
-    .C(_04552_),
-    .D(_04566_),
-    .X(_04567_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15827_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ),
-    .Y(_04568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15828_ (.A(_04500_),
-    .B(_04363_),
-    .X(_04569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15829_ (.A(_04569_),
-    .X(_04570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15830_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
-    .Y(_04571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15831_ (.A(_04500_),
-    .B(_04373_),
-    .X(_04572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15832_ (.A(_04572_),
-    .X(_04573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15833_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
-    .Y(_04574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15834_ (.A(_04372_),
-    .B(_04446_),
-    .X(_04575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15835_ (.A(_04575_),
-    .X(_04576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15836_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
-    .Y(_04577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15837_ (.A(_04411_),
-    .B(_04479_),
-    .X(_04578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15838_ (.A(_04578_),
-    .X(_04579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15839_ (.A1(_04574_),
-    .A2(_04576_),
-    .B1(_04577_),
-    .B2(_04579_),
-    .X(_04580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15840_ (.A1(_04568_),
-    .A2(_04570_),
-    .B1(_04571_),
-    .B2(_04573_),
-    .C1(_04580_),
-    .X(_04581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15841_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
-    .Y(_04582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15842_ (.A(_04546_),
-    .B(_04446_),
-    .X(_04583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15843_ (.A(_04583_),
-    .X(_04584_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15844_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
-    .Y(_04585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15845_ (.A(_06776_),
-    .B(_04363_),
-    .X(_04586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15846_ (.A(_04586_),
-    .X(_04587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15847_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
-    .Y(_04588_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15848_ (.A(_04394_),
-    .B(_04483_),
-    .X(_04589_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15849_ (.A(_04589_),
-    .X(_04590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15850_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
-    .Y(_04591_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15851_ (.A(_04527_),
-    .B(_04483_),
-    .X(_04592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15852_ (.A(_04592_),
-    .X(_04593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15853_ (.A1(_04588_),
-    .A2(_04590_),
-    .B1(_04591_),
-    .B2(_04593_),
-    .X(_04594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15854_ (.A1(_04582_),
-    .A2(_04584_),
-    .B1(_04585_),
-    .B2(_04587_),
-    .C1(_04594_),
-    .X(_04595_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15855_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ),
-    .Y(_04596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15856_ (.A(_04367_),
-    .B(_04496_),
-    .X(_04597_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15857_ (.A(_04597_),
-    .X(_04598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15858_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
-    .Y(_04599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15859_ (.A(_04446_),
-    .B(_04402_),
-    .X(_04600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15860_ (.A(_04600_),
-    .X(_04601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15861_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
-    .Y(_04602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15862_ (.A(_04424_),
-    .B(_04401_),
-    .X(_04603_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15863_ (.A(_04603_),
-    .X(_04604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15864_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
-    .Y(_04605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15865_ (.A(_04372_),
-    .B(_04428_),
-    .X(_04606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15866_ (.A(_04606_),
-    .X(_04607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15867_ (.A1(_04602_),
-    .A2(_04604_),
-    .B1(_04605_),
-    .B2(_04607_),
-    .X(_04608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15868_ (.A1(_04596_),
-    .A2(_04598_),
-    .B1(_04599_),
-    .B2(_04601_),
-    .C1(_04608_),
-    .X(_04609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15869_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
-    .Y(_04610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15870_ (.A(_04546_),
-    .B(_04388_),
-    .X(_04611_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15871_ (.A(_04611_),
-    .X(_04612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15872_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
-    .Y(_04613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15873_ (.A(_06781_),
-    .B(_04500_),
-    .X(_04614_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15874_ (.A(_04614_),
-    .X(_04615_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15875_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
-    .Y(_04616_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _15876_ (.A(_04401_),
-    .B(_04376_),
-    .X(_04617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15877_ (.A(_04617_),
-    .X(_04618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15878_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
-    .Y(_04619_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _15879_ (.A(_04381_),
-    .B(_04428_),
-    .X(_04620_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _15880_ (.A(_04620_),
-    .X(_04621_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15881_ (.A1(_04616_),
-    .A2(_04618_),
-    .B1(_04619_),
-    .B2(_04621_),
-    .X(_04622_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15882_ (.A1(_04610_),
-    .A2(_04612_),
-    .B1(_04613_),
-    .B2(_04615_),
-    .C1(_04622_),
-    .X(_04623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _15883_ (.A(_04581_),
-    .B(_04595_),
-    .C(_04609_),
-    .D(_04623_),
-    .X(_04624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand4_2 _15884_ (.A(_04451_),
-    .B(_04511_),
-    .C(_04567_),
-    .D(_04624_),
+ sky130_fd_sc_hd__nand4_2 _14921_ (.A(_04211_),
+    .B(_04272_),
+    .C(_04328_),
+    .D(_04385_),
     .Y(_00723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15885_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
-    .Y(_04625_),
+ sky130_fd_sc_hd__inv_2 _14922_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
+    .Y(_04386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15886_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
+ sky130_fd_sc_hd__inv_2 _14923_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
+    .Y(_04387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14924_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
+    .Y(_04388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14925_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
+    .Y(_04389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14926_ (.A1(_04388_),
+    .A2(_04149_),
+    .B1(_04389_),
+    .B2(_04153_),
+    .X(_04390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14927_ (.A1(_04386_),
+    .A2(_04133_),
+    .B1(_04387_),
+    .B2(_04141_),
+    .C1(_04390_),
+    .X(_04391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14928_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
+    .Y(_04392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14929_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
+    .Y(_04393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14930_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
+    .Y(_04394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14931_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
+    .Y(_04395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14932_ (.A1(_04394_),
+    .A2(_04170_),
+    .B1(_04395_),
+    .B2(_04174_),
+    .X(_04396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14933_ (.A1(_04392_),
+    .A2(_04160_),
+    .B1(_04393_),
+    .B2(_04166_),
+    .C1(_04396_),
+    .X(_04397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14934_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
+    .Y(_04398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14935_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
+    .Y(_04399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14936_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
+    .Y(_04400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14937_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
+    .Y(_04401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14938_ (.A1(_04400_),
+    .A2(_04187_),
+    .B1(_04401_),
+    .B2(_04191_),
+    .X(_04402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14939_ (.A1(_04398_),
+    .A2(_04179_),
+    .B1(_04399_),
+    .B2(_04182_),
+    .C1(_04402_),
+    .X(_04403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14940_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
+    .Y(_04404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14941_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
+    .Y(_04405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14942_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
+    .Y(_04406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14943_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
+    .Y(_04407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14944_ (.A1(_04406_),
+    .A2(_04204_),
+    .B1(_04407_),
+    .B2(_04208_),
+    .X(_04408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14945_ (.A1(_04404_),
+    .A2(_04197_),
+    .B1(_04405_),
+    .B2(_04200_),
+    .C1(_04408_),
+    .X(_04409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_2 _14946_ (.A(_04391_),
+    .B(_04397_),
+    .C(_04403_),
+    .D(_04409_),
+    .X(_04410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14947_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
+    .Y(_04411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14948_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
+    .Y(_04412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14949_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
+    .Y(_04413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14950_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
+    .Y(_04414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14951_ (.A1(_04413_),
+    .A2(_04218_),
+    .B1(_04414_),
+    .B2(_04221_),
+    .X(_04415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14952_ (.A1(_04411_),
+    .A2(_04214_),
+    .B1(_04412_),
+    .B2(_06426_),
+    .C1(_04415_),
+    .X(_04416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14953_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
+    .Y(_04417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14954_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
+    .Y(_04418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14955_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
+    .Y(_04419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14956_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
+    .Y(_04420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14957_ (.A1(_04419_),
+    .A2(_04233_),
+    .B1(_04420_),
+    .B2(_04236_),
+    .X(_04421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14958_ (.A1(_04417_),
+    .A2(_04227_),
+    .B1(_04418_),
+    .B2(_04230_),
+    .C1(_04421_),
+    .X(_04422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14959_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
+    .Y(_04423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14960_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
+    .Y(_04424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14961_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
+    .Y(_04425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14962_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
+    .Y(_04426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14963_ (.A1(_04425_),
+    .A2(_04250_),
+    .B1(_04426_),
+    .B2(_04253_),
+    .X(_04427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14964_ (.A1(_04423_),
+    .A2(_04242_),
+    .B1(_04424_),
+    .B2(_04246_),
+    .C1(_04427_),
+    .X(_04428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14965_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
+    .Y(_04429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14966_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
+    .Y(_04430_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14967_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
+    .Y(_04431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14968_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
+    .Y(_04432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14969_ (.A1(_04431_),
+    .A2(_04266_),
+    .B1(_04432_),
+    .B2(_04269_),
+    .X(_04433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14970_ (.A1(_04429_),
+    .A2(_04259_),
+    .B1(_04430_),
+    .B2(_04263_),
+    .C1(_04433_),
+    .X(_04434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_2 _14971_ (.A(_04416_),
+    .B(_04422_),
+    .C(_04428_),
+    .D(_04434_),
+    .X(_04435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14972_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
+    .Y(_04436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14973_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
+    .Y(_04437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14974_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
+    .Y(_04438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14975_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
+    .Y(_04439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14976_ (.A1(_04438_),
+    .A2(_04281_),
+    .B1(_04439_),
+    .B2(_04284_),
+    .X(_04440_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14977_ (.A1(_04436_),
+    .A2(_04275_),
+    .B1(_04437_),
+    .B2(_04278_),
+    .C1(_04440_),
+    .X(_04441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14978_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ),
+    .Y(_04442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14979_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
+    .Y(_04443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14980_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
+    .Y(_04444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14981_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
+    .Y(_04445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14982_ (.A1(_04444_),
+    .A2(_04296_),
+    .B1(_04445_),
+    .B2(_04299_),
+    .X(_04446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14983_ (.A1(_04442_),
+    .A2(_04290_),
+    .B1(_04443_),
+    .B2(_04293_),
+    .C1(_04446_),
+    .X(_04447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14984_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ),
+    .Y(_04448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14985_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
+    .Y(_04449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3b_1 _14986_ (.A(_04310_),
+    .B(_04311_),
+    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
+    .X(_04450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14987_ (.A1(_04448_),
+    .A2(_04305_),
+    .B1(_04449_),
+    .B2(_04309_),
+    .C1(_04450_),
+    .X(_04451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14988_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ),
+    .Y(_04452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14989_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
+    .Y(_04453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14990_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
+    .Y(_04454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14991_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
+    .Y(_04455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14992_ (.A1(_04454_),
+    .A2(_04322_),
+    .B1(_04455_),
+    .B2(_04325_),
+    .X(_04456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _14993_ (.A1(_04452_),
+    .A2(_04316_),
+    .B1(_04453_),
+    .B2(_04319_),
+    .C1(_04456_),
+    .X(_04457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_2 _14994_ (.A(_04441_),
+    .B(_04447_),
+    .C(_04451_),
+    .D(_04457_),
+    .X(_04458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14995_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ),
+    .Y(_04459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14996_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
+    .Y(_04460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14997_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
+    .Y(_04461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _14998_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
+    .Y(_04462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _14999_ (.A1(_04461_),
+    .A2(_04337_),
+    .B1(_04462_),
+    .B2(_04340_),
+    .X(_04463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15000_ (.A1(_04459_),
+    .A2(_04331_),
+    .B1(_04460_),
+    .B2(_04334_),
+    .C1(_04463_),
+    .X(_04464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15001_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
+    .Y(_04465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15002_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
+    .Y(_04466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15003_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
+    .Y(_04467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15004_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
+    .Y(_04468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15005_ (.A1(_04467_),
+    .A2(_04351_),
+    .B1(_04468_),
+    .B2(_04354_),
+    .X(_04469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15006_ (.A1(_04465_),
+    .A2(_04345_),
+    .B1(_04466_),
+    .B2(_04348_),
+    .C1(_04469_),
+    .X(_04470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15007_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
+    .Y(_04471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15008_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
+    .Y(_04472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15009_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
+    .Y(_04473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15010_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
+    .Y(_04474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15011_ (.A1(_04473_),
+    .A2(_04365_),
+    .B1(_04474_),
+    .B2(_04368_),
+    .X(_04475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15012_ (.A1(_04471_),
+    .A2(_04359_),
+    .B1(_04472_),
+    .B2(_04362_),
+    .C1(_04475_),
+    .X(_04476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15013_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
+    .Y(_04477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15014_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
+    .Y(_04478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15015_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
+    .Y(_04479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15016_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ),
+    .Y(_04480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15017_ (.A1(_04479_),
+    .A2(_04379_),
+    .B1(_04480_),
+    .B2(_04382_),
+    .X(_04481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15018_ (.A1(_04477_),
+    .A2(_04373_),
+    .B1(_04478_),
+    .B2(_04376_),
+    .C1(_04481_),
+    .X(_04482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_2 _15019_ (.A(_04464_),
+    .B(_04470_),
+    .C(_04476_),
+    .D(_04482_),
+    .X(_04483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand4_4 _15020_ (.A(_04410_),
+    .B(_04435_),
+    .C(_04458_),
+    .D(_04483_),
+    .Y(_00725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15021_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
+    .Y(_04484_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15022_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
+    .Y(_04485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15023_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ),
+    .Y(_04486_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15024_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
+    .Y(_04487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15025_ (.A1(_04486_),
+    .A2(_04149_),
+    .B1(_04487_),
+    .B2(_04153_),
+    .X(_04488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15026_ (.A1(_04484_),
+    .A2(_04133_),
+    .B1(_04485_),
+    .B2(_04141_),
+    .C1(_04488_),
+    .X(_04489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15027_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
+    .Y(_04490_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15028_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
+    .Y(_04491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15029_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
+    .Y(_04492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15030_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
+    .Y(_04493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15031_ (.A1(_04492_),
+    .A2(_04170_),
+    .B1(_04493_),
+    .B2(_04174_),
+    .X(_04494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15032_ (.A1(_04490_),
+    .A2(_04160_),
+    .B1(_04491_),
+    .B2(_04166_),
+    .C1(_04494_),
+    .X(_04495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15033_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
+    .Y(_04496_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15034_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
+    .Y(_04497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15035_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
+    .Y(_04498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15036_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
+    .Y(_04499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15037_ (.A1(_04498_),
+    .A2(_04187_),
+    .B1(_04499_),
+    .B2(_04191_),
+    .X(_04500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15038_ (.A1(_04496_),
+    .A2(_04179_),
+    .B1(_04497_),
+    .B2(_04182_),
+    .C1(_04500_),
+    .X(_04501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15039_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
+    .Y(_04502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15040_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
+    .Y(_04503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15041_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
+    .Y(_04504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15042_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
+    .Y(_04505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15043_ (.A1(_04504_),
+    .A2(_04204_),
+    .B1(_04505_),
+    .B2(_04208_),
+    .X(_04506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15044_ (.A1(_04502_),
+    .A2(_04197_),
+    .B1(_04503_),
+    .B2(_04200_),
+    .C1(_04506_),
+    .X(_04507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _15045_ (.A(_04489_),
+    .B(_04495_),
+    .C(_04501_),
+    .D(_04507_),
+    .X(_04508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15046_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
+    .Y(_04509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15047_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
+    .Y(_04510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15048_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
+    .Y(_04511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15049_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
+    .Y(_04512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15050_ (.A1(_04511_),
+    .A2(_04218_),
+    .B1(_04512_),
+    .B2(_04221_),
+    .X(_04513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15051_ (.A1(_04509_),
+    .A2(_04214_),
+    .B1(_04510_),
+    .B2(_06426_),
+    .C1(_04513_),
+    .X(_04514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15052_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
+    .Y(_04515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15053_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
+    .Y(_04516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15054_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
+    .Y(_04517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15055_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
+    .Y(_04518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15056_ (.A1(_04517_),
+    .A2(_04233_),
+    .B1(_04518_),
+    .B2(_04236_),
+    .X(_04519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15057_ (.A1(_04515_),
+    .A2(_04227_),
+    .B1(_04516_),
+    .B2(_04230_),
+    .C1(_04519_),
+    .X(_04520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15058_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
+    .Y(_04521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15059_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
+    .Y(_04522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15060_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
+    .Y(_04523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15061_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
+    .Y(_04524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15062_ (.A1(_04523_),
+    .A2(_04250_),
+    .B1(_04524_),
+    .B2(_04253_),
+    .X(_04525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15063_ (.A1(_04521_),
+    .A2(_04242_),
+    .B1(_04522_),
+    .B2(_04246_),
+    .C1(_04525_),
+    .X(_04526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15064_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
+    .Y(_04527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15065_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
+    .Y(_04528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15066_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
+    .Y(_04529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15067_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
+    .Y(_04530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15068_ (.A1(_04529_),
+    .A2(_04266_),
+    .B1(_04530_),
+    .B2(_04269_),
+    .X(_04531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15069_ (.A1(_04527_),
+    .A2(_04259_),
+    .B1(_04528_),
+    .B2(_04263_),
+    .C1(_04531_),
+    .X(_04532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _15070_ (.A(_04514_),
+    .B(_04520_),
+    .C(_04526_),
+    .D(_04532_),
+    .X(_04533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15071_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
+    .Y(_04534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15072_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ),
+    .Y(_04535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15073_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
+    .Y(_04536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15074_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
+    .Y(_04537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15075_ (.A1(_04536_),
+    .A2(_04281_),
+    .B1(_04537_),
+    .B2(_04284_),
+    .X(_04538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15076_ (.A1(_04534_),
+    .A2(_04275_),
+    .B1(_04535_),
+    .B2(_04278_),
+    .C1(_04538_),
+    .X(_04539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15077_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ),
+    .Y(_04540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15078_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
+    .Y(_04541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15079_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
+    .Y(_04542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15080_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ),
+    .Y(_04543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15081_ (.A1(_04542_),
+    .A2(_04296_),
+    .B1(_04543_),
+    .B2(_04299_),
+    .X(_04544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15082_ (.A1(_04540_),
+    .A2(_04290_),
+    .B1(_04541_),
+    .B2(_04293_),
+    .C1(_04544_),
+    .X(_04545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15083_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ),
+    .Y(_04546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15084_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ),
+    .Y(_04547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3b_1 _15085_ (.A(_04310_),
+    .B(_04311_),
+    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
+    .X(_04548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15086_ (.A1(_04546_),
+    .A2(_04305_),
+    .B1(_04547_),
+    .B2(_04309_),
+    .C1(_04548_),
+    .X(_04549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15087_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ),
+    .Y(_04550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15088_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
+    .Y(_04551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15089_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
+    .Y(_04552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15090_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
+    .Y(_04553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15091_ (.A1(_04552_),
+    .A2(_04322_),
+    .B1(_04553_),
+    .B2(_04325_),
+    .X(_04554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15092_ (.A1(_04550_),
+    .A2(_04316_),
+    .B1(_04551_),
+    .B2(_04319_),
+    .C1(_04554_),
+    .X(_04555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _15093_ (.A(_04539_),
+    .B(_04545_),
+    .C(_04549_),
+    .D(_04555_),
+    .X(_04556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15094_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ),
+    .Y(_04557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15095_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
+    .Y(_04558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15096_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
+    .Y(_04559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15097_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
+    .Y(_04560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15098_ (.A1(_04559_),
+    .A2(_04337_),
+    .B1(_04560_),
+    .B2(_04340_),
+    .X(_04561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15099_ (.A1(_04557_),
+    .A2(_04331_),
+    .B1(_04558_),
+    .B2(_04334_),
+    .C1(_04561_),
+    .X(_04562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15100_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
+    .Y(_04563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15101_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ),
+    .Y(_04564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15102_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
+    .Y(_04565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15103_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
+    .Y(_04566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15104_ (.A1(_04565_),
+    .A2(_04351_),
+    .B1(_04566_),
+    .B2(_04354_),
+    .X(_04567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15105_ (.A1(_04563_),
+    .A2(_04345_),
+    .B1(_04564_),
+    .B2(_04348_),
+    .C1(_04567_),
+    .X(_04568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15106_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ),
+    .Y(_04569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15107_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
+    .Y(_04570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15108_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
+    .Y(_04571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15109_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
+    .Y(_04572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15110_ (.A1(_04571_),
+    .A2(_04365_),
+    .B1(_04572_),
+    .B2(_04368_),
+    .X(_04573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15111_ (.A1(_04569_),
+    .A2(_04359_),
+    .B1(_04570_),
+    .B2(_04362_),
+    .C1(_04573_),
+    .X(_04574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15112_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
+    .Y(_04575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15113_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
+    .Y(_04576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15114_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
+    .Y(_04577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15115_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
+    .Y(_04578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15116_ (.A1(_04577_),
+    .A2(_04379_),
+    .B1(_04578_),
+    .B2(_04382_),
+    .X(_04579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15117_ (.A1(_04575_),
+    .A2(_04373_),
+    .B1(_04576_),
+    .B2(_04376_),
+    .C1(_04579_),
+    .X(_04580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_1 _15118_ (.A(_04562_),
+    .B(_04568_),
+    .C(_04574_),
+    .D(_04580_),
+    .X(_04581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand4_2 _15119_ (.A(_04508_),
+    .B(_04533_),
+    .C(_04556_),
+    .D(_04581_),
+    .Y(_00727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15120_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
+    .Y(_04582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15121_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
+    .Y(_04583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15122_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
+    .Y(_04584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15123_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
+    .Y(_04585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15124_ (.A1(_04584_),
+    .A2(_04149_),
+    .B1(_04585_),
+    .B2(_04153_),
+    .X(_04586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15125_ (.A1(_04582_),
+    .A2(_04133_),
+    .B1(_04583_),
+    .B2(_04141_),
+    .C1(_04586_),
+    .X(_04587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15126_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
+    .Y(_04588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15127_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
+    .Y(_04589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15128_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
+    .Y(_04590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15129_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
+    .Y(_04591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15130_ (.A1(_04590_),
+    .A2(_04170_),
+    .B1(_04591_),
+    .B2(_04174_),
+    .X(_04592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15131_ (.A1(_04588_),
+    .A2(_04160_),
+    .B1(_04589_),
+    .B2(_04166_),
+    .C1(_04592_),
+    .X(_04593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15132_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
+    .Y(_04594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15133_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
+    .Y(_04595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15134_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
+    .Y(_04596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15135_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
+    .Y(_04597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15136_ (.A1(_04596_),
+    .A2(_04187_),
+    .B1(_04597_),
+    .B2(_04191_),
+    .X(_04598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15137_ (.A1(_04594_),
+    .A2(_04179_),
+    .B1(_04595_),
+    .B2(_04182_),
+    .C1(_04598_),
+    .X(_04599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15138_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
+    .Y(_04600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15139_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
+    .Y(_04601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15140_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
+    .Y(_04602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15141_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
+    .Y(_04603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15142_ (.A1(_04602_),
+    .A2(_04204_),
+    .B1(_04603_),
+    .B2(_04208_),
+    .X(_04604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15143_ (.A1(_04600_),
+    .A2(_04197_),
+    .B1(_04601_),
+    .B2(_04200_),
+    .C1(_04604_),
+    .X(_04605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_2 _15144_ (.A(_04587_),
+    .B(_04593_),
+    .C(_04599_),
+    .D(_04605_),
+    .X(_04606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15145_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
+    .Y(_04607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15146_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
+    .Y(_04608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15147_ (.A(_06425_),
+    .X(_04609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15148_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
+    .Y(_04610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15149_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
+    .Y(_04611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15150_ (.A1(_04610_),
+    .A2(_04218_),
+    .B1(_04611_),
+    .B2(_04221_),
+    .X(_04612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15151_ (.A1(_04607_),
+    .A2(_04214_),
+    .B1(_04608_),
+    .B2(_04609_),
+    .C1(_04612_),
+    .X(_04613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15152_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
+    .Y(_04614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15153_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
+    .Y(_04615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15154_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
+    .Y(_04616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15155_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
+    .Y(_04617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15156_ (.A1(_04616_),
+    .A2(_04233_),
+    .B1(_04617_),
+    .B2(_04236_),
+    .X(_04618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15157_ (.A1(_04614_),
+    .A2(_04227_),
+    .B1(_04615_),
+    .B2(_04230_),
+    .C1(_04618_),
+    .X(_04619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15158_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
+    .Y(_04620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15159_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
+    .Y(_04621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15160_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
+    .Y(_04622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15161_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
+    .Y(_04623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15162_ (.A1(_04622_),
+    .A2(_04250_),
+    .B1(_04623_),
+    .B2(_04253_),
+    .X(_04624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15163_ (.A1(_04620_),
+    .A2(_04242_),
+    .B1(_04621_),
+    .B2(_04246_),
+    .C1(_04624_),
+    .X(_04625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15164_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
     .Y(_04626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15887_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
+ sky130_fd_sc_hd__inv_2 _15165_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
     .Y(_04627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15888_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
+ sky130_fd_sc_hd__inv_2 _15166_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
     .Y(_04628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15889_ (.A1(_04627_),
-    .A2(_04386_),
-    .B1(_04628_),
-    .B2(_04390_),
-    .X(_04629_),
+ sky130_fd_sc_hd__inv_2 _15167_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
+    .Y(_04629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15890_ (.A1(_04625_),
-    .A2(_04369_),
-    .B1(_04626_),
-    .B2(_04378_),
-    .C1(_04629_),
+ sky130_fd_sc_hd__o22a_1 _15168_ (.A1(_04628_),
+    .A2(_04266_),
+    .B1(_04629_),
+    .B2(_04269_),
     .X(_04630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15891_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
-    .Y(_04631_),
+ sky130_fd_sc_hd__o221a_1 _15169_ (.A1(_04626_),
+    .A2(_04259_),
+    .B1(_04627_),
+    .B2(_04263_),
+    .C1(_04630_),
+    .X(_04631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15892_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
-    .Y(_04632_),
+ sky130_fd_sc_hd__and4_2 _15170_ (.A(_04613_),
+    .B(_04619_),
+    .C(_04625_),
+    .D(_04631_),
+    .X(_04632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15893_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
+ sky130_fd_sc_hd__inv_2 _15171_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
     .Y(_04633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15894_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
+ sky130_fd_sc_hd__inv_2 _15172_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
     .Y(_04634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15895_ (.A1(_04633_),
-    .A2(_04409_),
+ sky130_fd_sc_hd__inv_2 _15173_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
+    .Y(_04635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15174_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
+    .Y(_04636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15175_ (.A1(_04635_),
+    .A2(_04281_),
+    .B1(_04636_),
+    .B2(_04284_),
+    .X(_04637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15176_ (.A1(_04633_),
+    .A2(_04275_),
     .B1(_04634_),
-    .B2(_04413_),
-    .X(_04635_),
+    .B2(_04278_),
+    .C1(_04637_),
+    .X(_04638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15896_ (.A1(_04631_),
-    .A2(_04399_),
-    .B1(_04632_),
-    .B2(_04405_),
-    .C1(_04635_),
-    .X(_04636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15897_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
-    .Y(_04637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15898_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
-    .Y(_04638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15899_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
+ sky130_fd_sc_hd__inv_2 _15177_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ),
     .Y(_04639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15900_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
+ sky130_fd_sc_hd__inv_2 _15178_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
     .Y(_04640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15901_ (.A1(_04639_),
-    .A2(_04426_),
+ sky130_fd_sc_hd__inv_2 _15179_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
+    .Y(_04641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15180_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
+    .Y(_04642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15181_ (.A1(_04641_),
+    .A2(_04296_),
+    .B1(_04642_),
+    .B2(_04299_),
+    .X(_04643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15182_ (.A1(_04639_),
+    .A2(_04290_),
     .B1(_04640_),
-    .B2(_04430_),
-    .X(_04641_),
+    .B2(_04293_),
+    .C1(_04643_),
+    .X(_04644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15902_ (.A1(_04637_),
-    .A2(_04418_),
-    .B1(_04638_),
-    .B2(_04421_),
-    .C1(_04641_),
-    .X(_04642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15903_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
-    .Y(_04643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15904_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
-    .Y(_04644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15905_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
+ sky130_fd_sc_hd__inv_2 _15183_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ),
     .Y(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15906_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
+ sky130_fd_sc_hd__inv_2 _15184_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
     .Y(_04646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15907_ (.A1(_04645_),
-    .A2(_04443_),
-    .B1(_04646_),
-    .B2(_04448_),
+ sky130_fd_sc_hd__or3b_1 _15185_ (.A(_04310_),
+    .B(_04311_),
+    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
     .X(_04647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15908_ (.A1(_04643_),
-    .A2(_04436_),
-    .B1(_04644_),
-    .B2(_04439_),
+ sky130_fd_sc_hd__o221a_1 _15186_ (.A1(_04645_),
+    .A2(_04305_),
+    .B1(_04646_),
+    .B2(_04309_),
     .C1(_04647_),
     .X(_04648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _15909_ (.A(_04630_),
-    .B(_04636_),
-    .C(_04642_),
-    .D(_04648_),
-    .X(_04649_),
+ sky130_fd_sc_hd__inv_2 _15187_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ),
+    .Y(_04649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15910_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
+ sky130_fd_sc_hd__inv_2 _15188_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
     .Y(_04650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15911_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
+ sky130_fd_sc_hd__inv_2 _15189_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
     .Y(_04651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15912_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
+ sky130_fd_sc_hd__inv_2 _15190_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
     .Y(_04652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15913_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
-    .Y(_04653_),
+ sky130_fd_sc_hd__o22a_1 _15191_ (.A1(_04651_),
+    .A2(_04322_),
+    .B1(_04652_),
+    .B2(_04325_),
+    .X(_04653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15914_ (.A1(_04652_),
-    .A2(_04458_),
-    .B1(_04653_),
-    .B2(_04461_),
+ sky130_fd_sc_hd__o221a_1 _15192_ (.A1(_04649_),
+    .A2(_04316_),
+    .B1(_04650_),
+    .B2(_04319_),
+    .C1(_04653_),
     .X(_04654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15915_ (.A1(_04650_),
-    .A2(_04454_),
-    .B1(_04651_),
-    .B2(_06783_),
-    .C1(_04654_),
+ sky130_fd_sc_hd__and4_2 _15193_ (.A(_04638_),
+    .B(_04644_),
+    .C(_04648_),
+    .D(_04654_),
     .X(_04655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15916_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
+ sky130_fd_sc_hd__inv_2 _15194_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ),
     .Y(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15917_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
+ sky130_fd_sc_hd__inv_2 _15195_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
     .Y(_04657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15918_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
+ sky130_fd_sc_hd__inv_2 _15196_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
     .Y(_04658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15919_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
+ sky130_fd_sc_hd__inv_2 _15197_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
     .Y(_04659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15920_ (.A1(_04658_),
-    .A2(_04472_),
+ sky130_fd_sc_hd__o22a_1 _15198_ (.A1(_04658_),
+    .A2(_04337_),
     .B1(_04659_),
-    .B2(_04475_),
+    .B2(_04340_),
     .X(_04660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _15921_ (.A1(_04656_),
-    .A2(_04466_),
+ sky130_fd_sc_hd__o221a_1 _15199_ (.A1(_04656_),
+    .A2(_04331_),
     .B1(_04657_),
-    .B2(_04469_),
+    .B2(_04334_),
     .C1(_04660_),
     .X(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15922_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
+ sky130_fd_sc_hd__inv_2 _15200_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
     .Y(_04662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15923_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
+ sky130_fd_sc_hd__inv_2 _15201_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
     .Y(_04663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15924_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
+ sky130_fd_sc_hd__inv_2 _15202_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
     .Y(_04664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15925_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
+ sky130_fd_sc_hd__inv_2 _15203_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
     .Y(_04665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15926_ (.A1(_04664_),
-    .A2(_04489_),
+ sky130_fd_sc_hd__o22a_1 _15204_ (.A1(_04664_),
+    .A2(_04351_),
     .B1(_04665_),
-    .B2(_04492_),
+    .B2(_04354_),
     .X(_04666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15927_ (.A1(_04662_),
-    .A2(_04481_),
+ sky130_fd_sc_hd__o221a_1 _15205_ (.A1(_04662_),
+    .A2(_04345_),
     .B1(_04663_),
-    .B2(_04485_),
+    .B2(_04348_),
     .C1(_04666_),
     .X(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15928_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
+ sky130_fd_sc_hd__inv_2 _15206_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
     .Y(_04668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15929_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
+ sky130_fd_sc_hd__inv_2 _15207_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
     .Y(_04669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15930_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
+ sky130_fd_sc_hd__inv_2 _15208_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
     .Y(_04670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15931_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
+ sky130_fd_sc_hd__inv_2 _15209_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
     .Y(_04671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15932_ (.A1(_04670_),
-    .A2(_04505_),
+ sky130_fd_sc_hd__o22a_1 _15210_ (.A1(_04670_),
+    .A2(_04365_),
     .B1(_04671_),
-    .B2(_04508_),
+    .B2(_04368_),
     .X(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15933_ (.A1(_04668_),
-    .A2(_04498_),
+ sky130_fd_sc_hd__o221a_1 _15211_ (.A1(_04668_),
+    .A2(_04359_),
     .B1(_04669_),
-    .B2(_04502_),
+    .B2(_04362_),
     .C1(_04672_),
     .X(_04673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _15934_ (.A(_04655_),
-    .B(_04661_),
-    .C(_04667_),
-    .D(_04673_),
-    .X(_04674_),
+ sky130_fd_sc_hd__inv_2 _15212_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
+    .Y(_04674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15935_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
+ sky130_fd_sc_hd__inv_2 _15213_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
     .Y(_04675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15936_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
+ sky130_fd_sc_hd__inv_2 _15214_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
     .Y(_04676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15937_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
+ sky130_fd_sc_hd__inv_2 _15215_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
     .Y(_04677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15938_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
-    .Y(_04678_),
+ sky130_fd_sc_hd__o22a_1 _15216_ (.A1(_04676_),
+    .A2(_04379_),
+    .B1(_04677_),
+    .B2(_04382_),
+    .X(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15939_ (.A1(_04677_),
-    .A2(_04520_),
-    .B1(_04678_),
-    .B2(_04523_),
+ sky130_fd_sc_hd__o221a_1 _15217_ (.A1(_04674_),
+    .A2(_04373_),
+    .B1(_04675_),
+    .B2(_04376_),
+    .C1(_04678_),
     .X(_04679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15940_ (.A1(_04675_),
-    .A2(_04514_),
-    .B1(_04676_),
-    .B2(_04517_),
-    .C1(_04679_),
+ sky130_fd_sc_hd__and4_2 _15218_ (.A(_04661_),
+    .B(_04667_),
+    .C(_04673_),
+    .D(_04679_),
     .X(_04680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15941_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ),
+ sky130_fd_sc_hd__nand4_4 _15219_ (.A(_04606_),
+    .B(_04632_),
+    .C(_04655_),
+    .D(_04680_),
+    .Y(_00729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15220_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
     .Y(_04681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15942_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
-    .Y(_04682_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15221_ (.A(_04132_),
+    .X(_04682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15943_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
+ sky130_fd_sc_hd__inv_2 _15222_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
     .Y(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15944_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
-    .Y(_04684_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15223_ (.A(_04140_),
+    .X(_04684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15945_ (.A1(_04683_),
-    .A2(_04535_),
-    .B1(_04684_),
-    .B2(_04538_),
-    .X(_04685_),
+ sky130_fd_sc_hd__inv_2 _15224_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ),
+    .Y(_04685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15946_ (.A1(_04681_),
-    .A2(_04529_),
-    .B1(_04682_),
-    .B2(_04532_),
-    .C1(_04685_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15225_ (.A(_04148_),
     .X(_04686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15947_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ),
+ sky130_fd_sc_hd__inv_2 _15226_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
     .Y(_04687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15948_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
-    .Y(_04688_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15227_ (.A(_04152_),
+    .X(_04688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _15949_ (.A(_04549_),
-    .B(_04550_),
-    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
+ sky130_fd_sc_hd__o22a_1 _15228_ (.A1(_04685_),
+    .A2(_04686_),
+    .B1(_04687_),
+    .B2(_04688_),
     .X(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15950_ (.A1(_04687_),
-    .A2(_04544_),
-    .B1(_04688_),
-    .B2(_04548_),
+ sky130_fd_sc_hd__o221a_1 _15229_ (.A1(_04681_),
+    .A2(_04682_),
+    .B1(_04683_),
+    .B2(_04684_),
     .C1(_04689_),
     .X(_04690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15951_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ),
+ sky130_fd_sc_hd__inv_2 _15230_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
     .Y(_04691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15952_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
-    .Y(_04692_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15231_ (.A(_04159_),
+    .X(_04692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15953_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
+ sky130_fd_sc_hd__inv_2 _15232_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
     .Y(_04693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15954_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
-    .Y(_04694_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15233_ (.A(_04165_),
+    .X(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _15955_ (.A1(_04693_),
-    .A2(_04561_),
-    .B1(_04694_),
-    .B2(_04564_),
-    .X(_04695_),
+ sky130_fd_sc_hd__inv_2 _15234_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
+    .Y(_04695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15956_ (.A1(_04691_),
-    .A2(_04555_),
-    .B1(_04692_),
-    .B2(_04558_),
-    .C1(_04695_),
+ sky130_fd_sc_hd__clkbuf_1 _15235_ (.A(_04169_),
     .X(_04696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _15957_ (.A(_04680_),
-    .B(_04686_),
-    .C(_04690_),
-    .D(_04696_),
-    .X(_04697_),
+ sky130_fd_sc_hd__inv_2 _15236_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
+    .Y(_04697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15958_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ),
-    .Y(_04698_),
+ sky130_fd_sc_hd__clkbuf_1 _15237_ (.A(_04173_),
+    .X(_04698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15959_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
-    .Y(_04699_),
+ sky130_fd_sc_hd__o22a_1 _15238_ (.A1(_04695_),
+    .A2(_04696_),
+    .B1(_04697_),
+    .B2(_04698_),
+    .X(_04699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15960_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
-    .Y(_04700_),
+ sky130_fd_sc_hd__o221a_1 _15239_ (.A1(_04691_),
+    .A2(_04692_),
+    .B1(_04693_),
+    .B2(_04694_),
+    .C1(_04699_),
+    .X(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15961_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
+ sky130_fd_sc_hd__inv_2 _15240_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
     .Y(_04701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15962_ (.A1(_04700_),
-    .A2(_04576_),
-    .B1(_04701_),
-    .B2(_04579_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15241_ (.A(_04178_),
     .X(_04702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15963_ (.A1(_04698_),
-    .A2(_04570_),
-    .B1(_04699_),
-    .B2(_04573_),
-    .C1(_04702_),
-    .X(_04703_),
+ sky130_fd_sc_hd__inv_2 _15242_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
+    .Y(_04703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15964_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
-    .Y(_04704_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15243_ (.A(_04181_),
+    .X(_04704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15965_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
+ sky130_fd_sc_hd__inv_2 _15244_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
     .Y(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15966_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
-    .Y(_04706_),
+ sky130_fd_sc_hd__clkbuf_1 _15245_ (.A(_04186_),
+    .X(_04706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15967_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
+ sky130_fd_sc_hd__inv_2 _15246_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
     .Y(_04707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15968_ (.A1(_04706_),
-    .A2(_04590_),
-    .B1(_04707_),
-    .B2(_04593_),
+ sky130_fd_sc_hd__clkbuf_1 _15247_ (.A(_04190_),
     .X(_04708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15969_ (.A1(_04704_),
-    .A2(_04584_),
-    .B1(_04705_),
-    .B2(_04587_),
-    .C1(_04708_),
+ sky130_fd_sc_hd__o22a_1 _15248_ (.A1(_04705_),
+    .A2(_04706_),
+    .B1(_04707_),
+    .B2(_04708_),
     .X(_04709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15970_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
-    .Y(_04710_),
+ sky130_fd_sc_hd__o221a_1 _15249_ (.A1(_04701_),
+    .A2(_04702_),
+    .B1(_04703_),
+    .B2(_04704_),
+    .C1(_04709_),
+    .X(_04710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15971_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
+ sky130_fd_sc_hd__inv_2 _15250_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
     .Y(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15972_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
-    .Y(_04712_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15251_ (.A(_04196_),
+    .X(_04712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15973_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
+ sky130_fd_sc_hd__inv_2 _15252_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
     .Y(_04713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15974_ (.A1(_04712_),
-    .A2(_04604_),
-    .B1(_04713_),
-    .B2(_04607_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15253_ (.A(_04199_),
     .X(_04714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15975_ (.A1(_04710_),
-    .A2(_04598_),
-    .B1(_04711_),
-    .B2(_04601_),
-    .C1(_04714_),
-    .X(_04715_),
+ sky130_fd_sc_hd__inv_2 _15254_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
+    .Y(_04715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15976_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
-    .Y(_04716_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15255_ (.A(_04203_),
+    .X(_04716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15977_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
+ sky130_fd_sc_hd__inv_2 _15256_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
     .Y(_04717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15978_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
-    .Y(_04718_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15257_ (.A(_04207_),
+    .X(_04718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15979_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ),
-    .Y(_04719_),
+ sky130_fd_sc_hd__o22a_1 _15258_ (.A1(_04715_),
+    .A2(_04716_),
+    .B1(_04717_),
+    .B2(_04718_),
+    .X(_04719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15980_ (.A1(_04718_),
-    .A2(_04618_),
-    .B1(_04719_),
-    .B2(_04621_),
+ sky130_fd_sc_hd__o221a_1 _15259_ (.A1(_04711_),
+    .A2(_04712_),
+    .B1(_04713_),
+    .B2(_04714_),
+    .C1(_04719_),
     .X(_04720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15981_ (.A1(_04716_),
-    .A2(_04612_),
-    .B1(_04717_),
-    .B2(_04615_),
-    .C1(_04720_),
+ sky130_fd_sc_hd__and4_1 _15260_ (.A(_04690_),
+    .B(_04700_),
+    .C(_04710_),
+    .D(_04720_),
     .X(_04721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _15982_ (.A(_04703_),
-    .B(_04709_),
-    .C(_04715_),
-    .D(_04721_),
-    .X(_04722_),
+ sky130_fd_sc_hd__inv_2 _15261_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
+    .Y(_04722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand4_4 _15983_ (.A(_04649_),
-    .B(_04674_),
-    .C(_04697_),
-    .D(_04722_),
-    .Y(_00725_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15262_ (.A(_04213_),
+    .X(_04723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15984_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
-    .Y(_04723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15985_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
+ sky130_fd_sc_hd__inv_2 _15263_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
     .Y(_04724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15986_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ),
+ sky130_fd_sc_hd__inv_2 _15264_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
     .Y(_04725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15987_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
-    .Y(_04726_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15265_ (.A(_04217_),
+    .X(_04726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15988_ (.A1(_04725_),
-    .A2(_04386_),
-    .B1(_04726_),
-    .B2(_04390_),
-    .X(_04727_),
+ sky130_fd_sc_hd__inv_2 _15266_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
+    .Y(_04727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15989_ (.A1(_04723_),
-    .A2(_04369_),
-    .B1(_04724_),
-    .B2(_04378_),
-    .C1(_04727_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15267_ (.A(_04220_),
     .X(_04728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15990_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
-    .Y(_04729_),
+ sky130_fd_sc_hd__o22a_1 _15268_ (.A1(_04725_),
+    .A2(_04726_),
+    .B1(_04727_),
+    .B2(_04728_),
+    .X(_04729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15991_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
-    .Y(_04730_),
+ sky130_fd_sc_hd__o221a_1 _15269_ (.A1(_04722_),
+    .A2(_04723_),
+    .B1(_04724_),
+    .B2(_04609_),
+    .C1(_04729_),
+    .X(_04730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15992_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
+ sky130_fd_sc_hd__inv_2 _15270_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
     .Y(_04731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15993_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
-    .Y(_04732_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15271_ (.A(_04226_),
+    .X(_04732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _15994_ (.A1(_04731_),
-    .A2(_04409_),
-    .B1(_04732_),
-    .B2(_04413_),
-    .X(_04733_),
+ sky130_fd_sc_hd__inv_2 _15272_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
+    .Y(_04733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _15995_ (.A1(_04729_),
-    .A2(_04399_),
-    .B1(_04730_),
-    .B2(_04405_),
-    .C1(_04733_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15273_ (.A(_04229_),
     .X(_04734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15996_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
+ sky130_fd_sc_hd__inv_2 _15274_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
     .Y(_04735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15997_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
-    .Y(_04736_),
+ sky130_fd_sc_hd__clkbuf_1 _15275_ (.A(_04232_),
+    .X(_04736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15998_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
+ sky130_fd_sc_hd__inv_2 _15276_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
     .Y(_04737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _15999_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
-    .Y(_04738_),
+ sky130_fd_sc_hd__clkbuf_1 _15277_ (.A(_04235_),
+    .X(_04738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16000_ (.A1(_04737_),
-    .A2(_04426_),
-    .B1(_04738_),
-    .B2(_04430_),
+ sky130_fd_sc_hd__o22a_1 _15278_ (.A1(_04735_),
+    .A2(_04736_),
+    .B1(_04737_),
+    .B2(_04738_),
     .X(_04739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16001_ (.A1(_04735_),
-    .A2(_04418_),
-    .B1(_04736_),
-    .B2(_04421_),
+ sky130_fd_sc_hd__o221a_1 _15279_ (.A1(_04731_),
+    .A2(_04732_),
+    .B1(_04733_),
+    .B2(_04734_),
     .C1(_04739_),
     .X(_04740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16002_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
+ sky130_fd_sc_hd__inv_2 _15280_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
     .Y(_04741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16003_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
-    .Y(_04742_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15281_ (.A(_04241_),
+    .X(_04742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16004_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
+ sky130_fd_sc_hd__inv_2 _15282_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
     .Y(_04743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16005_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
-    .Y(_04744_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15283_ (.A(_04245_),
+    .X(_04744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16006_ (.A1(_04743_),
-    .A2(_04443_),
-    .B1(_04744_),
-    .B2(_04448_),
-    .X(_04745_),
+ sky130_fd_sc_hd__inv_2 _15284_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
+    .Y(_04745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16007_ (.A1(_04741_),
-    .A2(_04436_),
-    .B1(_04742_),
-    .B2(_04439_),
-    .C1(_04745_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15285_ (.A(_04249_),
     .X(_04746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16008_ (.A(_04728_),
-    .B(_04734_),
-    .C(_04740_),
-    .D(_04746_),
-    .X(_04747_),
+ sky130_fd_sc_hd__inv_2 _15286_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
+    .Y(_04747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16009_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
-    .Y(_04748_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15287_ (.A(_04252_),
+    .X(_04748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16010_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
-    .Y(_04749_),
+ sky130_fd_sc_hd__o22a_1 _15288_ (.A1(_04745_),
+    .A2(_04746_),
+    .B1(_04747_),
+    .B2(_04748_),
+    .X(_04749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16011_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
-    .Y(_04750_),
+ sky130_fd_sc_hd__o221a_1 _15289_ (.A1(_04741_),
+    .A2(_04742_),
+    .B1(_04743_),
+    .B2(_04744_),
+    .C1(_04749_),
+    .X(_04750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16012_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
+ sky130_fd_sc_hd__inv_2 _15290_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
     .Y(_04751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16013_ (.A1(_04750_),
-    .A2(_04458_),
-    .B1(_04751_),
-    .B2(_04461_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15291_ (.A(_04258_),
     .X(_04752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16014_ (.A1(_04748_),
-    .A2(_04454_),
-    .B1(_04749_),
-    .B2(_06783_),
-    .C1(_04752_),
-    .X(_04753_),
+ sky130_fd_sc_hd__inv_2 _15292_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
+    .Y(_04753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16015_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
-    .Y(_04754_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15293_ (.A(_04262_),
+    .X(_04754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16016_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
+ sky130_fd_sc_hd__inv_2 _15294_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
     .Y(_04755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16017_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
-    .Y(_04756_),
+ sky130_fd_sc_hd__clkbuf_1 _15295_ (.A(_04265_),
+    .X(_04756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16018_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
+ sky130_fd_sc_hd__inv_2 _15296_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
     .Y(_04757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16019_ (.A1(_04756_),
-    .A2(_04472_),
-    .B1(_04757_),
-    .B2(_04475_),
+ sky130_fd_sc_hd__clkbuf_1 _15297_ (.A(_04268_),
     .X(_04758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _16020_ (.A1(_04754_),
-    .A2(_04466_),
-    .B1(_04755_),
-    .B2(_04469_),
-    .C1(_04758_),
+ sky130_fd_sc_hd__o22a_1 _15298_ (.A1(_04755_),
+    .A2(_04756_),
+    .B1(_04757_),
+    .B2(_04758_),
     .X(_04759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16021_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
-    .Y(_04760_),
+ sky130_fd_sc_hd__o221a_1 _15299_ (.A1(_04751_),
+    .A2(_04752_),
+    .B1(_04753_),
+    .B2(_04754_),
+    .C1(_04759_),
+    .X(_04760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16022_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
-    .Y(_04761_),
+ sky130_fd_sc_hd__and4_1 _15300_ (.A(_04730_),
+    .B(_04740_),
+    .C(_04750_),
+    .D(_04760_),
+    .X(_04761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16023_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
+ sky130_fd_sc_hd__inv_2 _15301_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
     .Y(_04762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16024_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
-    .Y(_04763_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15302_ (.A(_04274_),
+    .X(_04763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16025_ (.A1(_04762_),
-    .A2(_04489_),
-    .B1(_04763_),
-    .B2(_04492_),
-    .X(_04764_),
+ sky130_fd_sc_hd__inv_2 _15303_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ),
+    .Y(_04764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16026_ (.A1(_04760_),
-    .A2(_04481_),
-    .B1(_04761_),
-    .B2(_04485_),
-    .C1(_04764_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15304_ (.A(_04277_),
     .X(_04765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16027_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
+ sky130_fd_sc_hd__inv_2 _15305_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
     .Y(_04766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16028_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
-    .Y(_04767_),
+ sky130_fd_sc_hd__clkbuf_1 _15306_ (.A(_04280_),
+    .X(_04767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16029_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
+ sky130_fd_sc_hd__inv_2 _15307_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
     .Y(_04768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16030_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
-    .Y(_04769_),
+ sky130_fd_sc_hd__clkbuf_1 _15308_ (.A(_04283_),
+    .X(_04769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16031_ (.A1(_04768_),
-    .A2(_04505_),
-    .B1(_04769_),
-    .B2(_04508_),
+ sky130_fd_sc_hd__o22a_1 _15309_ (.A1(_04766_),
+    .A2(_04767_),
+    .B1(_04768_),
+    .B2(_04769_),
     .X(_04770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16032_ (.A1(_04766_),
-    .A2(_04498_),
-    .B1(_04767_),
-    .B2(_04502_),
+ sky130_fd_sc_hd__o221a_1 _15310_ (.A1(_04762_),
+    .A2(_04763_),
+    .B1(_04764_),
+    .B2(_04765_),
     .C1(_04770_),
     .X(_04771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _16033_ (.A(_04753_),
-    .B(_04759_),
-    .C(_04765_),
-    .D(_04771_),
-    .X(_04772_),
+ sky130_fd_sc_hd__inv_2 _15311_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ),
+    .Y(_04772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16034_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
-    .Y(_04773_),
+ sky130_fd_sc_hd__clkbuf_1 _15312_ (.A(_04289_),
+    .X(_04773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16035_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ),
+ sky130_fd_sc_hd__inv_2 _15313_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
     .Y(_04774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16036_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
-    .Y(_04775_),
+ sky130_fd_sc_hd__clkbuf_1 _15314_ (.A(_04292_),
+    .X(_04775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16037_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
+ sky130_fd_sc_hd__inv_2 _15315_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
     .Y(_04776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16038_ (.A1(_04775_),
-    .A2(_04520_),
-    .B1(_04776_),
-    .B2(_04523_),
+ sky130_fd_sc_hd__clkbuf_1 _15316_ (.A(_04295_),
     .X(_04777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16039_ (.A1(_04773_),
-    .A2(_04514_),
+ sky130_fd_sc_hd__inv_2 _15317_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
+    .Y(_04778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15318_ (.A(_04298_),
+    .X(_04779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15319_ (.A1(_04776_),
+    .A2(_04777_),
+    .B1(_04778_),
+    .B2(_04779_),
+    .X(_04780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15320_ (.A1(_04772_),
+    .A2(_04773_),
     .B1(_04774_),
-    .B2(_04517_),
-    .C1(_04777_),
-    .X(_04778_),
+    .B2(_04775_),
+    .C1(_04780_),
+    .X(_04781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16040_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ),
-    .Y(_04779_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16041_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
-    .Y(_04780_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16042_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
-    .Y(_04781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16043_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ),
+ sky130_fd_sc_hd__inv_2 _15321_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ),
     .Y(_04782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16044_ (.A1(_04781_),
-    .A2(_04535_),
-    .B1(_04782_),
-    .B2(_04538_),
+ sky130_fd_sc_hd__clkbuf_1 _15322_ (.A(_04304_),
     .X(_04783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16045_ (.A1(_04779_),
-    .A2(_04529_),
-    .B1(_04780_),
-    .B2(_04532_),
-    .C1(_04783_),
-    .X(_04784_),
+ sky130_fd_sc_hd__inv_2 _15323_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
+    .Y(_04784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16046_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ),
-    .Y(_04785_),
+ sky130_fd_sc_hd__clkbuf_1 _15324_ (.A(_04308_),
+    .X(_04785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16047_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ),
-    .Y(_04786_),
+ sky130_fd_sc_hd__clkbuf_1 _15325_ (.A(_04157_),
+    .X(_04786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _16048_ (.A(_04549_),
-    .B(_04550_),
-    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
+ sky130_fd_sc_hd__clkbuf_1 _15326_ (.A(_04303_),
     .X(_04787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16049_ (.A1(_04785_),
-    .A2(_04544_),
-    .B1(_04786_),
-    .B2(_04548_),
-    .C1(_04787_),
+ sky130_fd_sc_hd__or3b_1 _15327_ (.A(_04786_),
+    .B(_04787_),
+    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
     .X(_04788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16050_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ),
-    .Y(_04789_),
+ sky130_fd_sc_hd__o221a_1 _15328_ (.A1(_04782_),
+    .A2(_04783_),
+    .B1(_04784_),
+    .B2(_04785_),
+    .C1(_04788_),
+    .X(_04789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16051_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
+ sky130_fd_sc_hd__inv_2 _15329_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ),
     .Y(_04790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16052_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
-    .Y(_04791_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15330_ (.A(_04315_),
+    .X(_04791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16053_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
+ sky130_fd_sc_hd__inv_2 _15331_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
     .Y(_04792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16054_ (.A1(_04791_),
-    .A2(_04561_),
-    .B1(_04792_),
-    .B2(_04564_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15332_ (.A(_04318_),
     .X(_04793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16055_ (.A1(_04789_),
-    .A2(_04555_),
-    .B1(_04790_),
-    .B2(_04558_),
-    .C1(_04793_),
-    .X(_04794_),
+ sky130_fd_sc_hd__inv_2 _15333_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
+    .Y(_04794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16056_ (.A(_04778_),
-    .B(_04784_),
-    .C(_04788_),
-    .D(_04794_),
+ sky130_fd_sc_hd__clkbuf_1 _15334_ (.A(_04321_),
     .X(_04795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16057_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ),
+ sky130_fd_sc_hd__inv_2 _15335_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
     .Y(_04796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16058_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
-    .Y(_04797_),
+ sky130_fd_sc_hd__clkbuf_1 _15336_ (.A(_04324_),
+    .X(_04797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16059_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
-    .Y(_04798_),
+ sky130_fd_sc_hd__o22a_1 _15337_ (.A1(_04794_),
+    .A2(_04795_),
+    .B1(_04796_),
+    .B2(_04797_),
+    .X(_04798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16060_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
-    .Y(_04799_),
+ sky130_fd_sc_hd__o221a_1 _15338_ (.A1(_04790_),
+    .A2(_04791_),
+    .B1(_04792_),
+    .B2(_04793_),
+    .C1(_04798_),
+    .X(_04799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16061_ (.A1(_04798_),
-    .A2(_04576_),
-    .B1(_04799_),
-    .B2(_04579_),
+ sky130_fd_sc_hd__and4_1 _15339_ (.A(_04771_),
+    .B(_04781_),
+    .C(_04789_),
+    .D(_04799_),
     .X(_04800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16062_ (.A1(_04796_),
-    .A2(_04570_),
-    .B1(_04797_),
-    .B2(_04573_),
-    .C1(_04800_),
-    .X(_04801_),
+ sky130_fd_sc_hd__inv_2 _15340_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ),
+    .Y(_04801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16063_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
-    .Y(_04802_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15341_ (.A(_04330_),
+    .X(_04802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16064_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ),
+ sky130_fd_sc_hd__inv_2 _15342_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
     .Y(_04803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16065_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
-    .Y(_04804_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15343_ (.A(_04333_),
+    .X(_04804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16066_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
+ sky130_fd_sc_hd__inv_2 _15344_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
     .Y(_04805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16067_ (.A1(_04804_),
-    .A2(_04590_),
-    .B1(_04805_),
-    .B2(_04593_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15345_ (.A(_04336_),
     .X(_04806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16068_ (.A1(_04802_),
-    .A2(_04584_),
+ sky130_fd_sc_hd__inv_2 _15346_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
+    .Y(_04807_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15347_ (.A(_04339_),
+    .X(_04808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _15348_ (.A1(_04805_),
+    .A2(_04806_),
+    .B1(_04807_),
+    .B2(_04808_),
+    .X(_04809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o221a_1 _15349_ (.A1(_04801_),
+    .A2(_04802_),
     .B1(_04803_),
-    .B2(_04587_),
-    .C1(_04806_),
-    .X(_04807_),
+    .B2(_04804_),
+    .C1(_04809_),
+    .X(_04810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16069_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ),
-    .Y(_04808_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16070_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
-    .Y(_04809_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16071_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
-    .Y(_04810_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16072_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
+ sky130_fd_sc_hd__inv_2 _15350_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
     .Y(_04811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16073_ (.A1(_04810_),
-    .A2(_04604_),
-    .B1(_04811_),
-    .B2(_04607_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15351_ (.A(_04344_),
     .X(_04812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16074_ (.A1(_04808_),
-    .A2(_04598_),
-    .B1(_04809_),
-    .B2(_04601_),
-    .C1(_04812_),
-    .X(_04813_),
+ sky130_fd_sc_hd__inv_2 _15352_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ),
+    .Y(_04813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16075_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
-    .Y(_04814_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15353_ (.A(_04347_),
+    .X(_04814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16076_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
+ sky130_fd_sc_hd__inv_2 _15354_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
     .Y(_04815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16077_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
-    .Y(_04816_),
+ sky130_fd_sc_hd__clkbuf_1 _15355_ (.A(_04350_),
+    .X(_04816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16078_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
+ sky130_fd_sc_hd__inv_2 _15356_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
     .Y(_04817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16079_ (.A1(_04816_),
-    .A2(_04618_),
-    .B1(_04817_),
-    .B2(_04621_),
+ sky130_fd_sc_hd__clkbuf_1 _15357_ (.A(_04353_),
     .X(_04818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16080_ (.A1(_04814_),
-    .A2(_04612_),
-    .B1(_04815_),
-    .B2(_04615_),
-    .C1(_04818_),
+ sky130_fd_sc_hd__o22a_1 _15358_ (.A1(_04815_),
+    .A2(_04816_),
+    .B1(_04817_),
+    .B2(_04818_),
     .X(_04819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _16081_ (.A(_04801_),
-    .B(_04807_),
-    .C(_04813_),
-    .D(_04819_),
+ sky130_fd_sc_hd__o221a_1 _15359_ (.A1(_04811_),
+    .A2(_04812_),
+    .B1(_04813_),
+    .B2(_04814_),
+    .C1(_04819_),
     .X(_04820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand4_4 _16082_ (.A(_04747_),
-    .B(_04772_),
-    .C(_04795_),
-    .D(_04820_),
-    .Y(_00727_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16083_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
+ sky130_fd_sc_hd__inv_2 _15360_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
     .Y(_04821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16084_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
-    .Y(_04822_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15361_ (.A(_04358_),
+    .X(_04822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16085_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
+ sky130_fd_sc_hd__inv_2 _15362_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
     .Y(_04823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16086_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
-    .Y(_04824_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15363_ (.A(_04361_),
+    .X(_04824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16087_ (.A1(_04823_),
-    .A2(_04386_),
-    .B1(_04824_),
-    .B2(_04390_),
-    .X(_04825_),
+ sky130_fd_sc_hd__inv_2 _15364_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
+    .Y(_04825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16088_ (.A1(_04821_),
-    .A2(_04369_),
-    .B1(_04822_),
-    .B2(_04378_),
-    .C1(_04825_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15365_ (.A(_04364_),
     .X(_04826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16089_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
+ sky130_fd_sc_hd__inv_2 _15366_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
     .Y(_04827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16090_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
-    .Y(_04828_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15367_ (.A(_04367_),
+    .X(_04828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16091_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
-    .Y(_04829_),
+ sky130_fd_sc_hd__o22a_1 _15368_ (.A1(_04825_),
+    .A2(_04826_),
+    .B1(_04827_),
+    .B2(_04828_),
+    .X(_04829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16092_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
-    .Y(_04830_),
+ sky130_fd_sc_hd__o221a_1 _15369_ (.A1(_04821_),
+    .A2(_04822_),
+    .B1(_04823_),
+    .B2(_04824_),
+    .C1(_04829_),
+    .X(_04830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16093_ (.A1(_04829_),
-    .A2(_04409_),
-    .B1(_04830_),
-    .B2(_04413_),
-    .X(_04831_),
+ sky130_fd_sc_hd__inv_2 _15370_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
+    .Y(_04831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16094_ (.A1(_04827_),
-    .A2(_04399_),
-    .B1(_04828_),
-    .B2(_04405_),
-    .C1(_04831_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15371_ (.A(_04372_),
     .X(_04832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16095_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
+ sky130_fd_sc_hd__inv_2 _15372_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
     .Y(_04833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16096_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
-    .Y(_04834_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15373_ (.A(_04375_),
+    .X(_04834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16097_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
+ sky130_fd_sc_hd__inv_2 _15374_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
     .Y(_04835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16098_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
-    .Y(_04836_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15375_ (.A(_04378_),
+    .X(_04836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16099_ (.A1(_04835_),
-    .A2(_04426_),
-    .B1(_04836_),
-    .B2(_04430_),
-    .X(_04837_),
+ sky130_fd_sc_hd__inv_2 _15376_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
+    .Y(_04837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16100_ (.A1(_04833_),
-    .A2(_04418_),
-    .B1(_04834_),
-    .B2(_04421_),
-    .C1(_04837_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15377_ (.A(_04381_),
     .X(_04838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16101_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
-    .Y(_04839_),
+ sky130_fd_sc_hd__o22a_1 _15378_ (.A1(_04835_),
+    .A2(_04836_),
+    .B1(_04837_),
+    .B2(_04838_),
+    .X(_04839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16102_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
-    .Y(_04840_),
+ sky130_fd_sc_hd__o221a_1 _15379_ (.A1(_04831_),
+    .A2(_04832_),
+    .B1(_04833_),
+    .B2(_04834_),
+    .C1(_04839_),
+    .X(_04840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16103_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
-    .Y(_04841_),
+ sky130_fd_sc_hd__and4_1 _15380_ (.A(_04810_),
+    .B(_04820_),
+    .C(_04830_),
+    .D(_04840_),
+    .X(_04841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16104_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
+ sky130_fd_sc_hd__nand4_2 _15381_ (.A(_04721_),
+    .B(_04761_),
+    .C(_04800_),
+    .D(_04841_),
+    .Y(_00731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15382_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
     .Y(_04842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16105_ (.A1(_04841_),
-    .A2(_04443_),
-    .B1(_04842_),
-    .B2(_04448_),
-    .X(_04843_),
+ sky130_fd_sc_hd__inv_2 _15383_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
+    .Y(_04843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16106_ (.A1(_04839_),
-    .A2(_04436_),
-    .B1(_04840_),
-    .B2(_04439_),
-    .C1(_04843_),
-    .X(_04844_),
+ sky130_fd_sc_hd__inv_2 _15384_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
+    .Y(_04844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16107_ (.A(_04826_),
-    .B(_04832_),
-    .C(_04838_),
-    .D(_04844_),
-    .X(_04845_),
+ sky130_fd_sc_hd__inv_2 _15385_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
+    .Y(_04845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16108_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
-    .Y(_04846_),
+ sky130_fd_sc_hd__o22a_1 _15386_ (.A1(_04844_),
+    .A2(_04686_),
+    .B1(_04845_),
+    .B2(_04688_),
+    .X(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16109_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
-    .Y(_04847_),
+ sky130_fd_sc_hd__o221a_1 _15387_ (.A1(_04842_),
+    .A2(_04682_),
+    .B1(_04843_),
+    .B2(_04684_),
+    .C1(_04846_),
+    .X(_04847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16110_ (.A(_06782_),
-    .X(_04848_),
+ sky130_fd_sc_hd__inv_2 _15388_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
+    .Y(_04848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16111_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
+ sky130_fd_sc_hd__inv_2 _15389_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
     .Y(_04849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16112_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
+ sky130_fd_sc_hd__inv_2 _15390_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
     .Y(_04850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16113_ (.A1(_04849_),
-    .A2(_04458_),
-    .B1(_04850_),
-    .B2(_04461_),
-    .X(_04851_),
+ sky130_fd_sc_hd__inv_2 _15391_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
+    .Y(_04851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16114_ (.A1(_04846_),
-    .A2(_04454_),
-    .B1(_04847_),
-    .B2(_04848_),
-    .C1(_04851_),
+ sky130_fd_sc_hd__o22a_1 _15392_ (.A1(_04850_),
+    .A2(_04696_),
+    .B1(_04851_),
+    .B2(_04698_),
     .X(_04852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16115_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
-    .Y(_04853_),
+ sky130_fd_sc_hd__o221a_1 _15393_ (.A1(_04848_),
+    .A2(_04692_),
+    .B1(_04849_),
+    .B2(_04694_),
+    .C1(_04852_),
+    .X(_04853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16116_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
+ sky130_fd_sc_hd__inv_2 _15394_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
     .Y(_04854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16117_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
+ sky130_fd_sc_hd__inv_2 _15395_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
     .Y(_04855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16118_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
+ sky130_fd_sc_hd__inv_2 _15396_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
     .Y(_04856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16119_ (.A1(_04855_),
-    .A2(_04472_),
-    .B1(_04856_),
-    .B2(_04475_),
-    .X(_04857_),
+ sky130_fd_sc_hd__inv_2 _15397_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
+    .Y(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _16120_ (.A1(_04853_),
-    .A2(_04466_),
-    .B1(_04854_),
-    .B2(_04469_),
-    .C1(_04857_),
+ sky130_fd_sc_hd__o22a_1 _15398_ (.A1(_04856_),
+    .A2(_04706_),
+    .B1(_04857_),
+    .B2(_04708_),
     .X(_04858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16121_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
-    .Y(_04859_),
+ sky130_fd_sc_hd__o221a_1 _15399_ (.A1(_04854_),
+    .A2(_04702_),
+    .B1(_04855_),
+    .B2(_04704_),
+    .C1(_04858_),
+    .X(_04859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16122_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
+ sky130_fd_sc_hd__inv_2 _15400_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
     .Y(_04860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16123_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
+ sky130_fd_sc_hd__inv_2 _15401_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
     .Y(_04861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16124_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
+ sky130_fd_sc_hd__inv_2 _15402_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
     .Y(_04862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16125_ (.A1(_04861_),
-    .A2(_04489_),
-    .B1(_04862_),
-    .B2(_04492_),
-    .X(_04863_),
+ sky130_fd_sc_hd__inv_2 _15403_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
+    .Y(_04863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16126_ (.A1(_04859_),
-    .A2(_04481_),
-    .B1(_04860_),
-    .B2(_04485_),
-    .C1(_04863_),
+ sky130_fd_sc_hd__o22a_1 _15404_ (.A1(_04862_),
+    .A2(_04716_),
+    .B1(_04863_),
+    .B2(_04718_),
     .X(_04864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16127_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
-    .Y(_04865_),
+ sky130_fd_sc_hd__o221a_1 _15405_ (.A1(_04860_),
+    .A2(_04712_),
+    .B1(_04861_),
+    .B2(_04714_),
+    .C1(_04864_),
+    .X(_04865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16128_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
-    .Y(_04866_),
+ sky130_fd_sc_hd__and4_1 _15406_ (.A(_04847_),
+    .B(_04853_),
+    .C(_04859_),
+    .D(_04865_),
+    .X(_04866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16129_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
+ sky130_fd_sc_hd__inv_2 _15407_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
     .Y(_04867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16130_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
+ sky130_fd_sc_hd__inv_2 _15408_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
     .Y(_04868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16131_ (.A1(_04867_),
-    .A2(_04505_),
-    .B1(_04868_),
-    .B2(_04508_),
-    .X(_04869_),
+ sky130_fd_sc_hd__inv_2 _15409_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
+    .Y(_04869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16132_ (.A1(_04865_),
-    .A2(_04498_),
-    .B1(_04866_),
-    .B2(_04502_),
-    .C1(_04869_),
-    .X(_04870_),
+ sky130_fd_sc_hd__inv_2 _15410_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
+    .Y(_04870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16133_ (.A(_04852_),
-    .B(_04858_),
-    .C(_04864_),
-    .D(_04870_),
+ sky130_fd_sc_hd__o22a_1 _15411_ (.A1(_04869_),
+    .A2(_04726_),
+    .B1(_04870_),
+    .B2(_04728_),
     .X(_04871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16134_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
-    .Y(_04872_),
+ sky130_fd_sc_hd__o221a_1 _15412_ (.A1(_04867_),
+    .A2(_04723_),
+    .B1(_04868_),
+    .B2(_04609_),
+    .C1(_04871_),
+    .X(_04872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16135_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
+ sky130_fd_sc_hd__inv_2 _15413_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
     .Y(_04873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16136_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
+ sky130_fd_sc_hd__inv_2 _15414_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
     .Y(_04874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16137_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
+ sky130_fd_sc_hd__inv_2 _15415_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
     .Y(_04875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16138_ (.A1(_04874_),
-    .A2(_04520_),
-    .B1(_04875_),
-    .B2(_04523_),
-    .X(_04876_),
+ sky130_fd_sc_hd__inv_2 _15416_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
+    .Y(_04876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16139_ (.A1(_04872_),
-    .A2(_04514_),
-    .B1(_04873_),
-    .B2(_04517_),
-    .C1(_04876_),
+ sky130_fd_sc_hd__o22a_1 _15417_ (.A1(_04875_),
+    .A2(_04736_),
+    .B1(_04876_),
+    .B2(_04738_),
     .X(_04877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16140_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ),
-    .Y(_04878_),
+ sky130_fd_sc_hd__o221a_1 _15418_ (.A1(_04873_),
+    .A2(_04732_),
+    .B1(_04874_),
+    .B2(_04734_),
+    .C1(_04877_),
+    .X(_04878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16141_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
+ sky130_fd_sc_hd__inv_2 _15419_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
     .Y(_04879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16142_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
+ sky130_fd_sc_hd__inv_2 _15420_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
     .Y(_04880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16143_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
+ sky130_fd_sc_hd__inv_2 _15421_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
     .Y(_04881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16144_ (.A1(_04880_),
-    .A2(_04535_),
-    .B1(_04881_),
-    .B2(_04538_),
-    .X(_04882_),
+ sky130_fd_sc_hd__inv_2 _15422_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
+    .Y(_04882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16145_ (.A1(_04878_),
-    .A2(_04529_),
-    .B1(_04879_),
-    .B2(_04532_),
-    .C1(_04882_),
+ sky130_fd_sc_hd__o22a_1 _15423_ (.A1(_04881_),
+    .A2(_04746_),
+    .B1(_04882_),
+    .B2(_04748_),
     .X(_04883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16146_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ),
-    .Y(_04884_),
+ sky130_fd_sc_hd__o221a_1 _15424_ (.A1(_04879_),
+    .A2(_04742_),
+    .B1(_04880_),
+    .B2(_04744_),
+    .C1(_04883_),
+    .X(_04884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16147_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
+ sky130_fd_sc_hd__inv_2 _15425_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
     .Y(_04885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _16148_ (.A(_04549_),
-    .B(_04550_),
-    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
-    .X(_04886_),
+ sky130_fd_sc_hd__inv_2 _15426_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
+    .Y(_04886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16149_ (.A1(_04884_),
-    .A2(_04544_),
-    .B1(_04885_),
-    .B2(_04548_),
-    .C1(_04886_),
-    .X(_04887_),
+ sky130_fd_sc_hd__inv_2 _15427_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
+    .Y(_04887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16150_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ),
+ sky130_fd_sc_hd__inv_2 _15428_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
     .Y(_04888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16151_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
-    .Y(_04889_),
+ sky130_fd_sc_hd__o22a_1 _15429_ (.A1(_04887_),
+    .A2(_04756_),
+    .B1(_04888_),
+    .B2(_04758_),
+    .X(_04889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16152_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
-    .Y(_04890_),
+ sky130_fd_sc_hd__o221a_1 _15430_ (.A1(_04885_),
+    .A2(_04752_),
+    .B1(_04886_),
+    .B2(_04754_),
+    .C1(_04889_),
+    .X(_04890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16153_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
-    .Y(_04891_),
+ sky130_fd_sc_hd__and4_1 _15431_ (.A(_04872_),
+    .B(_04878_),
+    .C(_04884_),
+    .D(_04890_),
+    .X(_04891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16154_ (.A1(_04890_),
-    .A2(_04561_),
-    .B1(_04891_),
-    .B2(_04564_),
-    .X(_04892_),
+ sky130_fd_sc_hd__inv_2 _15432_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
+    .Y(_04892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16155_ (.A1(_04888_),
-    .A2(_04555_),
-    .B1(_04889_),
-    .B2(_04558_),
-    .C1(_04892_),
-    .X(_04893_),
+ sky130_fd_sc_hd__inv_2 _15433_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
+    .Y(_04893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16156_ (.A(_04877_),
-    .B(_04883_),
-    .C(_04887_),
-    .D(_04893_),
-    .X(_04894_),
+ sky130_fd_sc_hd__inv_2 _15434_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
+    .Y(_04894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16157_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ),
+ sky130_fd_sc_hd__inv_2 _15435_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
     .Y(_04895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16158_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
-    .Y(_04896_),
+ sky130_fd_sc_hd__o22a_1 _15436_ (.A1(_04894_),
+    .A2(_04767_),
+    .B1(_04895_),
+    .B2(_04769_),
+    .X(_04896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16159_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
-    .Y(_04897_),
+ sky130_fd_sc_hd__o221a_1 _15437_ (.A1(_04892_),
+    .A2(_04763_),
+    .B1(_04893_),
+    .B2(_04765_),
+    .C1(_04896_),
+    .X(_04897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16160_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
+ sky130_fd_sc_hd__inv_2 _15438_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ),
     .Y(_04898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16161_ (.A1(_04897_),
-    .A2(_04576_),
-    .B1(_04898_),
-    .B2(_04579_),
-    .X(_04899_),
+ sky130_fd_sc_hd__inv_2 _15439_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
+    .Y(_04899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16162_ (.A1(_04895_),
-    .A2(_04570_),
-    .B1(_04896_),
-    .B2(_04573_),
-    .C1(_04899_),
-    .X(_04900_),
+ sky130_fd_sc_hd__inv_2 _15440_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
+    .Y(_04900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16163_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
+ sky130_fd_sc_hd__inv_2 _15441_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
     .Y(_04901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16164_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
-    .Y(_04902_),
+ sky130_fd_sc_hd__o22a_1 _15442_ (.A1(_04900_),
+    .A2(_04777_),
+    .B1(_04901_),
+    .B2(_04779_),
+    .X(_04902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16165_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
-    .Y(_04903_),
+ sky130_fd_sc_hd__o221a_1 _15443_ (.A1(_04898_),
+    .A2(_04773_),
+    .B1(_04899_),
+    .B2(_04775_),
+    .C1(_04902_),
+    .X(_04903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16166_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
+ sky130_fd_sc_hd__inv_2 _15444_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ),
     .Y(_04904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16167_ (.A1(_04903_),
-    .A2(_04590_),
-    .B1(_04904_),
-    .B2(_04593_),
-    .X(_04905_),
+ sky130_fd_sc_hd__inv_2 _15445_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
+    .Y(_04905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16168_ (.A1(_04901_),
-    .A2(_04584_),
-    .B1(_04902_),
-    .B2(_04587_),
-    .C1(_04905_),
+ sky130_fd_sc_hd__or3b_1 _15446_ (.A(_04786_),
+    .B(_04787_),
+    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
     .X(_04906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16169_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
-    .Y(_04907_),
+ sky130_fd_sc_hd__o221a_1 _15447_ (.A1(_04904_),
+    .A2(_04783_),
+    .B1(_04905_),
+    .B2(_04785_),
+    .C1(_04906_),
+    .X(_04907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16170_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
+ sky130_fd_sc_hd__inv_2 _15448_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ),
     .Y(_04908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16171_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
+ sky130_fd_sc_hd__inv_2 _15449_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
     .Y(_04909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16172_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
+ sky130_fd_sc_hd__inv_2 _15450_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
     .Y(_04910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16173_ (.A1(_04909_),
-    .A2(_04604_),
-    .B1(_04910_),
-    .B2(_04607_),
-    .X(_04911_),
+ sky130_fd_sc_hd__inv_2 _15451_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
+    .Y(_04911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16174_ (.A1(_04907_),
-    .A2(_04598_),
-    .B1(_04908_),
-    .B2(_04601_),
-    .C1(_04911_),
+ sky130_fd_sc_hd__o22a_1 _15452_ (.A1(_04910_),
+    .A2(_04795_),
+    .B1(_04911_),
+    .B2(_04797_),
     .X(_04912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16175_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
-    .Y(_04913_),
+ sky130_fd_sc_hd__o221a_1 _15453_ (.A1(_04908_),
+    .A2(_04791_),
+    .B1(_04909_),
+    .B2(_04793_),
+    .C1(_04912_),
+    .X(_04913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16176_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
-    .Y(_04914_),
+ sky130_fd_sc_hd__and4_1 _15454_ (.A(_04897_),
+    .B(_04903_),
+    .C(_04907_),
+    .D(_04913_),
+    .X(_04914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16177_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
+ sky130_fd_sc_hd__inv_2 _15455_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ),
     .Y(_04915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16178_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
+ sky130_fd_sc_hd__inv_2 _15456_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
     .Y(_04916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16179_ (.A1(_04915_),
-    .A2(_04618_),
-    .B1(_04916_),
-    .B2(_04621_),
-    .X(_04917_),
+ sky130_fd_sc_hd__inv_2 _15457_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
+    .Y(_04917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16180_ (.A1(_04913_),
-    .A2(_04612_),
-    .B1(_04914_),
-    .B2(_04615_),
-    .C1(_04917_),
-    .X(_04918_),
+ sky130_fd_sc_hd__inv_2 _15458_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
+    .Y(_04918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _16181_ (.A(_04900_),
-    .B(_04906_),
-    .C(_04912_),
-    .D(_04918_),
+ sky130_fd_sc_hd__o22a_1 _15459_ (.A1(_04917_),
+    .A2(_04806_),
+    .B1(_04918_),
+    .B2(_04808_),
     .X(_04919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand4_4 _16182_ (.A(_04845_),
-    .B(_04871_),
-    .C(_04894_),
-    .D(_04919_),
-    .Y(_00729_),
+ sky130_fd_sc_hd__o221a_1 _15460_ (.A1(_04915_),
+    .A2(_04802_),
+    .B1(_04916_),
+    .B2(_04804_),
+    .C1(_04919_),
+    .X(_04920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16183_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
-    .Y(_04920_),
+ sky130_fd_sc_hd__inv_2 _15461_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
+    .Y(_04921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16184_ (.A(_04368_),
-    .X(_04921_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16185_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
+ sky130_fd_sc_hd__inv_2 _15462_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
     .Y(_04922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16186_ (.A(_04377_),
-    .X(_04923_),
+ sky130_fd_sc_hd__inv_2 _15463_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
+    .Y(_04923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16187_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ),
+ sky130_fd_sc_hd__inv_2 _15464_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
     .Y(_04924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16188_ (.A(_04385_),
+ sky130_fd_sc_hd__o22a_1 _15465_ (.A1(_04923_),
+    .A2(_04816_),
+    .B1(_04924_),
+    .B2(_04818_),
     .X(_04925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16189_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
-    .Y(_04926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16190_ (.A(_04389_),
-    .X(_04927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16191_ (.A1(_04924_),
-    .A2(_04925_),
-    .B1(_04926_),
-    .B2(_04927_),
-    .X(_04928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16192_ (.A1(_04920_),
-    .A2(_04921_),
+ sky130_fd_sc_hd__o221a_1 _15466_ (.A1(_04921_),
+    .A2(_04812_),
     .B1(_04922_),
-    .B2(_04923_),
-    .C1(_04928_),
-    .X(_04929_),
+    .B2(_04814_),
+    .C1(_04925_),
+    .X(_04926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16193_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
+ sky130_fd_sc_hd__inv_2 _15467_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
+    .Y(_04927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15468_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
+    .Y(_04928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15469_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
+    .Y(_04929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15470_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
     .Y(_04930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16194_ (.A(_04398_),
+ sky130_fd_sc_hd__o22a_1 _15471_ (.A1(_04929_),
+    .A2(_04826_),
+    .B1(_04930_),
+    .B2(_04828_),
     .X(_04931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16195_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
-    .Y(_04932_),
+ sky130_fd_sc_hd__o221a_1 _15472_ (.A1(_04927_),
+    .A2(_04822_),
+    .B1(_04928_),
+    .B2(_04824_),
+    .C1(_04931_),
+    .X(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16196_ (.A(_04404_),
-    .X(_04933_),
+ sky130_fd_sc_hd__inv_2 _15473_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
+    .Y(_04933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16197_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
+ sky130_fd_sc_hd__inv_2 _15474_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
     .Y(_04934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16198_ (.A(_04408_),
-    .X(_04935_),
+ sky130_fd_sc_hd__inv_2 _15475_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
+    .Y(_04935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16199_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
+ sky130_fd_sc_hd__inv_2 _15476_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ),
     .Y(_04936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16200_ (.A(_04412_),
+ sky130_fd_sc_hd__o22a_1 _15477_ (.A1(_04935_),
+    .A2(_04836_),
+    .B1(_04936_),
+    .B2(_04838_),
     .X(_04937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16201_ (.A1(_04934_),
-    .A2(_04935_),
-    .B1(_04936_),
-    .B2(_04937_),
+ sky130_fd_sc_hd__o221a_1 _15478_ (.A1(_04933_),
+    .A2(_04832_),
+    .B1(_04934_),
+    .B2(_04834_),
+    .C1(_04937_),
     .X(_04938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16202_ (.A1(_04930_),
-    .A2(_04931_),
-    .B1(_04932_),
-    .B2(_04933_),
-    .C1(_04938_),
+ sky130_fd_sc_hd__and4_1 _15479_ (.A(_04920_),
+    .B(_04926_),
+    .C(_04932_),
+    .D(_04938_),
     .X(_04939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16203_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
+ sky130_fd_sc_hd__nand4_2 _15480_ (.A(_04866_),
+    .B(_04891_),
+    .C(_04914_),
+    .D(_04939_),
+    .Y(_00733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15481_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
     .Y(_04940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16204_ (.A(_04417_),
-    .X(_04941_),
+ sky130_fd_sc_hd__inv_2 _15482_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
+    .Y(_04941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16205_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
+ sky130_fd_sc_hd__inv_2 _15483_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ),
     .Y(_04942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16206_ (.A(_04420_),
-    .X(_04943_),
+ sky130_fd_sc_hd__inv_2 _15484_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
+    .Y(_04943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16207_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
-    .Y(_04944_),
+ sky130_fd_sc_hd__o22a_1 _15485_ (.A1(_04942_),
+    .A2(_04686_),
+    .B1(_04943_),
+    .B2(_04688_),
+    .X(_04944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16208_ (.A(_04425_),
+ sky130_fd_sc_hd__o221a_1 _15486_ (.A1(_04940_),
+    .A2(_04682_),
+    .B1(_04941_),
+    .B2(_04684_),
+    .C1(_04944_),
     .X(_04945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16209_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
+ sky130_fd_sc_hd__inv_2 _15487_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
     .Y(_04946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16210_ (.A(_04429_),
-    .X(_04947_),
+ sky130_fd_sc_hd__inv_2 _15488_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
+    .Y(_04947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16211_ (.A1(_04944_),
-    .A2(_04945_),
-    .B1(_04946_),
-    .B2(_04947_),
-    .X(_04948_),
+ sky130_fd_sc_hd__inv_2 _15489_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
+    .Y(_04948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16212_ (.A1(_04940_),
-    .A2(_04941_),
-    .B1(_04942_),
-    .B2(_04943_),
-    .C1(_04948_),
-    .X(_04949_),
+ sky130_fd_sc_hd__inv_2 _15490_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
+    .Y(_04949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16213_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
-    .Y(_04950_),
+ sky130_fd_sc_hd__o22a_1 _15491_ (.A1(_04948_),
+    .A2(_04696_),
+    .B1(_04949_),
+    .B2(_04698_),
+    .X(_04950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16214_ (.A(_04435_),
+ sky130_fd_sc_hd__o221a_1 _15492_ (.A1(_04946_),
+    .A2(_04692_),
+    .B1(_04947_),
+    .B2(_04694_),
+    .C1(_04950_),
     .X(_04951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16215_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
+ sky130_fd_sc_hd__inv_2 _15493_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
     .Y(_04952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16216_ (.A(_04438_),
-    .X(_04953_),
+ sky130_fd_sc_hd__inv_2 _15494_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
+    .Y(_04953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16217_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
+ sky130_fd_sc_hd__inv_2 _15495_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
     .Y(_04954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16218_ (.A(_04442_),
-    .X(_04955_),
+ sky130_fd_sc_hd__inv_2 _15496_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
+    .Y(_04955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16219_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
-    .Y(_04956_),
+ sky130_fd_sc_hd__o22a_1 _15497_ (.A1(_04954_),
+    .A2(_04706_),
+    .B1(_04955_),
+    .B2(_04708_),
+    .X(_04956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16220_ (.A(_04447_),
+ sky130_fd_sc_hd__o221a_1 _15498_ (.A1(_04952_),
+    .A2(_04702_),
+    .B1(_04953_),
+    .B2(_04704_),
+    .C1(_04956_),
     .X(_04957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16221_ (.A1(_04954_),
-    .A2(_04955_),
-    .B1(_04956_),
-    .B2(_04957_),
-    .X(_04958_),
+ sky130_fd_sc_hd__inv_2 _15499_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
+    .Y(_04958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16222_ (.A1(_04950_),
-    .A2(_04951_),
-    .B1(_04952_),
-    .B2(_04953_),
-    .C1(_04958_),
-    .X(_04959_),
+ sky130_fd_sc_hd__inv_2 _15500_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
+    .Y(_04959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16223_ (.A(_04929_),
-    .B(_04939_),
-    .C(_04949_),
-    .D(_04959_),
-    .X(_04960_),
+ sky130_fd_sc_hd__inv_2 _15501_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
+    .Y(_04960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16224_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
+ sky130_fd_sc_hd__inv_2 _15502_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
     .Y(_04961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16225_ (.A(_04453_),
+ sky130_fd_sc_hd__o22a_1 _15503_ (.A1(_04960_),
+    .A2(_04716_),
+    .B1(_04961_),
+    .B2(_04718_),
     .X(_04962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16226_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
-    .Y(_04963_),
+ sky130_fd_sc_hd__o221a_1 _15504_ (.A1(_04958_),
+    .A2(_04712_),
+    .B1(_04959_),
+    .B2(_04714_),
+    .C1(_04962_),
+    .X(_04963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16227_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
-    .Y(_04964_),
+ sky130_fd_sc_hd__and4_1 _15505_ (.A(_04945_),
+    .B(_04951_),
+    .C(_04957_),
+    .D(_04963_),
+    .X(_04964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16228_ (.A(_04457_),
-    .X(_04965_),
+ sky130_fd_sc_hd__inv_2 _15506_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
+    .Y(_04965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16229_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
+ sky130_fd_sc_hd__inv_2 _15507_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
     .Y(_04966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16230_ (.A(_04460_),
-    .X(_04967_),
+ sky130_fd_sc_hd__inv_2 _15508_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
+    .Y(_04967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16231_ (.A1(_04964_),
-    .A2(_04965_),
-    .B1(_04966_),
-    .B2(_04967_),
-    .X(_04968_),
+ sky130_fd_sc_hd__inv_2 _15509_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
+    .Y(_04968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16232_ (.A1(_04961_),
-    .A2(_04962_),
-    .B1(_04963_),
-    .B2(_04848_),
-    .C1(_04968_),
+ sky130_fd_sc_hd__o22a_1 _15510_ (.A1(_04967_),
+    .A2(_04726_),
+    .B1(_04968_),
+    .B2(_04728_),
     .X(_04969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16233_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
-    .Y(_04970_),
+ sky130_fd_sc_hd__o221a_1 _15511_ (.A1(_04965_),
+    .A2(_04723_),
+    .B1(_04966_),
+    .B2(_04609_),
+    .C1(_04969_),
+    .X(_04970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16234_ (.A(_04465_),
-    .X(_04971_),
+ sky130_fd_sc_hd__inv_2 _15512_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
+    .Y(_04971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16235_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
+ sky130_fd_sc_hd__inv_2 _15513_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
     .Y(_04972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16236_ (.A(_04468_),
-    .X(_04973_),
+ sky130_fd_sc_hd__inv_2 _15514_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
+    .Y(_04973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16237_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
+ sky130_fd_sc_hd__inv_2 _15515_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
     .Y(_04974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16238_ (.A(_04471_),
+ sky130_fd_sc_hd__o22a_1 _15516_ (.A1(_04973_),
+    .A2(_04736_),
+    .B1(_04974_),
+    .B2(_04738_),
     .X(_04975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16239_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
-    .Y(_04976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16240_ (.A(_04474_),
-    .X(_04977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16241_ (.A1(_04974_),
-    .A2(_04975_),
-    .B1(_04976_),
-    .B2(_04977_),
-    .X(_04978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _16242_ (.A1(_04970_),
-    .A2(_04971_),
+ sky130_fd_sc_hd__o221a_1 _15517_ (.A1(_04971_),
+    .A2(_04732_),
     .B1(_04972_),
-    .B2(_04973_),
-    .C1(_04978_),
-    .X(_04979_),
+    .B2(_04734_),
+    .C1(_04975_),
+    .X(_04976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16243_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
+ sky130_fd_sc_hd__inv_2 _15518_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
+    .Y(_04977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15519_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
+    .Y(_04978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15520_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
+    .Y(_04979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15521_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
     .Y(_04980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16244_ (.A(_04480_),
+ sky130_fd_sc_hd__o22a_1 _15522_ (.A1(_04979_),
+    .A2(_04746_),
+    .B1(_04980_),
+    .B2(_04748_),
     .X(_04981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16245_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
-    .Y(_04982_),
+ sky130_fd_sc_hd__o221a_1 _15523_ (.A1(_04977_),
+    .A2(_04742_),
+    .B1(_04978_),
+    .B2(_04744_),
+    .C1(_04981_),
+    .X(_04982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16246_ (.A(_04484_),
-    .X(_04983_),
+ sky130_fd_sc_hd__inv_2 _15524_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
+    .Y(_04983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16247_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
+ sky130_fd_sc_hd__inv_2 _15525_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
     .Y(_04984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16248_ (.A(_04488_),
-    .X(_04985_),
+ sky130_fd_sc_hd__inv_2 _15526_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
+    .Y(_04985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16249_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
+ sky130_fd_sc_hd__inv_2 _15527_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
     .Y(_04986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16250_ (.A(_04491_),
+ sky130_fd_sc_hd__o22a_1 _15528_ (.A1(_04985_),
+    .A2(_04756_),
+    .B1(_04986_),
+    .B2(_04758_),
     .X(_04987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16251_ (.A1(_04984_),
-    .A2(_04985_),
-    .B1(_04986_),
-    .B2(_04987_),
+ sky130_fd_sc_hd__o221a_1 _15529_ (.A1(_04983_),
+    .A2(_04752_),
+    .B1(_04984_),
+    .B2(_04754_),
+    .C1(_04987_),
     .X(_04988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16252_ (.A1(_04980_),
-    .A2(_04981_),
-    .B1(_04982_),
-    .B2(_04983_),
-    .C1(_04988_),
+ sky130_fd_sc_hd__and4_1 _15530_ (.A(_04970_),
+    .B(_04976_),
+    .C(_04982_),
+    .D(_04988_),
     .X(_04989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16253_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
+ sky130_fd_sc_hd__inv_2 _15531_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
     .Y(_04990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16254_ (.A(_04497_),
-    .X(_04991_),
+ sky130_fd_sc_hd__inv_2 _15532_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ),
+    .Y(_04991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16255_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
+ sky130_fd_sc_hd__inv_2 _15533_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
     .Y(_04992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16256_ (.A(_04501_),
-    .X(_04993_),
+ sky130_fd_sc_hd__inv_2 _15534_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
+    .Y(_04993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16257_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
-    .Y(_04994_),
+ sky130_fd_sc_hd__o22a_1 _15535_ (.A1(_04992_),
+    .A2(_04767_),
+    .B1(_04993_),
+    .B2(_04769_),
+    .X(_04994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16258_ (.A(_04504_),
+ sky130_fd_sc_hd__o221a_1 _15536_ (.A1(_04990_),
+    .A2(_04763_),
+    .B1(_04991_),
+    .B2(_04765_),
+    .C1(_04994_),
     .X(_04995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16259_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
+ sky130_fd_sc_hd__inv_2 _15537_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ),
     .Y(_04996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16260_ (.A(_04507_),
-    .X(_04997_),
+ sky130_fd_sc_hd__inv_2 _15538_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
+    .Y(_04997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16261_ (.A1(_04994_),
-    .A2(_04995_),
-    .B1(_04996_),
-    .B2(_04997_),
-    .X(_04998_),
+ sky130_fd_sc_hd__inv_2 _15539_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
+    .Y(_04998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16262_ (.A1(_04990_),
-    .A2(_04991_),
-    .B1(_04992_),
-    .B2(_04993_),
-    .C1(_04998_),
-    .X(_04999_),
+ sky130_fd_sc_hd__inv_2 _15540_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
+    .Y(_04999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16263_ (.A(_04969_),
-    .B(_04979_),
-    .C(_04989_),
-    .D(_04999_),
+ sky130_fd_sc_hd__o22a_1 _15541_ (.A1(_04998_),
+    .A2(_04777_),
+    .B1(_04999_),
+    .B2(_04779_),
     .X(_05000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16264_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
-    .Y(_05001_),
+ sky130_fd_sc_hd__o221a_1 _15542_ (.A1(_04996_),
+    .A2(_04773_),
+    .B1(_04997_),
+    .B2(_04775_),
+    .C1(_05000_),
+    .X(_05001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16265_ (.A(_04513_),
-    .X(_05002_),
+ sky130_fd_sc_hd__inv_2 _15543_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ),
+    .Y(_05002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16266_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ),
+ sky130_fd_sc_hd__inv_2 _15544_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
     .Y(_05003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16267_ (.A(_04516_),
+ sky130_fd_sc_hd__or3b_1 _15545_ (.A(_04786_),
+    .B(_04787_),
+    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
     .X(_05004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16268_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
-    .Y(_05005_),
+ sky130_fd_sc_hd__o221a_1 _15546_ (.A1(_05002_),
+    .A2(_04783_),
+    .B1(_05003_),
+    .B2(_04785_),
+    .C1(_05004_),
+    .X(_05005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16269_ (.A(_04519_),
-    .X(_05006_),
+ sky130_fd_sc_hd__inv_2 _15547_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ),
+    .Y(_05006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16270_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
+ sky130_fd_sc_hd__inv_2 _15548_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
     .Y(_05007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16271_ (.A(_04522_),
-    .X(_05008_),
+ sky130_fd_sc_hd__inv_2 _15549_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
+    .Y(_05008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16272_ (.A1(_05005_),
-    .A2(_05006_),
-    .B1(_05007_),
-    .B2(_05008_),
-    .X(_05009_),
+ sky130_fd_sc_hd__inv_2 _15550_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
+    .Y(_05009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16273_ (.A1(_05001_),
-    .A2(_05002_),
-    .B1(_05003_),
-    .B2(_05004_),
-    .C1(_05009_),
+ sky130_fd_sc_hd__o22a_1 _15551_ (.A1(_05008_),
+    .A2(_04795_),
+    .B1(_05009_),
+    .B2(_04797_),
     .X(_05010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16274_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ),
-    .Y(_05011_),
+ sky130_fd_sc_hd__o221a_1 _15552_ (.A1(_05006_),
+    .A2(_04791_),
+    .B1(_05007_),
+    .B2(_04793_),
+    .C1(_05010_),
+    .X(_05011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16275_ (.A(_04528_),
+ sky130_fd_sc_hd__and4_1 _15553_ (.A(_04995_),
+    .B(_05001_),
+    .C(_05005_),
+    .D(_05011_),
     .X(_05012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16276_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
+ sky130_fd_sc_hd__inv_2 _15554_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ),
     .Y(_05013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16277_ (.A(_04531_),
-    .X(_05014_),
+ sky130_fd_sc_hd__inv_2 _15555_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
+    .Y(_05014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16278_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
+ sky130_fd_sc_hd__inv_2 _15556_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
     .Y(_05015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16279_ (.A(_04534_),
-    .X(_05016_),
+ sky130_fd_sc_hd__inv_2 _15557_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
+    .Y(_05016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16280_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
-    .Y(_05017_),
+ sky130_fd_sc_hd__o22a_1 _15558_ (.A1(_05015_),
+    .A2(_04806_),
+    .B1(_05016_),
+    .B2(_04808_),
+    .X(_05017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16281_ (.A(_04537_),
+ sky130_fd_sc_hd__o221a_1 _15559_ (.A1(_05013_),
+    .A2(_04802_),
+    .B1(_05014_),
+    .B2(_04804_),
+    .C1(_05017_),
     .X(_05018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16282_ (.A1(_05015_),
-    .A2(_05016_),
-    .B1(_05017_),
-    .B2(_05018_),
-    .X(_05019_),
+ sky130_fd_sc_hd__inv_2 _15560_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
+    .Y(_05019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16283_ (.A1(_05011_),
-    .A2(_05012_),
-    .B1(_05013_),
-    .B2(_05014_),
-    .C1(_05019_),
-    .X(_05020_),
+ sky130_fd_sc_hd__inv_2 _15561_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ),
+    .Y(_05020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16284_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ),
+ sky130_fd_sc_hd__inv_2 _15562_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
     .Y(_05021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16285_ (.A(_04543_),
-    .X(_05022_),
+ sky130_fd_sc_hd__inv_2 _15563_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
+    .Y(_05022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16286_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
-    .Y(_05023_),
+ sky130_fd_sc_hd__o22a_1 _15564_ (.A1(_05021_),
+    .A2(_04816_),
+    .B1(_05022_),
+    .B2(_04818_),
+    .X(_05023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16287_ (.A(_04547_),
+ sky130_fd_sc_hd__o221a_1 _15565_ (.A1(_05019_),
+    .A2(_04812_),
+    .B1(_05020_),
+    .B2(_04814_),
+    .C1(_05023_),
     .X(_05024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16288_ (.A(_04395_),
-    .X(_05025_),
+ sky130_fd_sc_hd__inv_2 _15566_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ),
+    .Y(_05025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16289_ (.A(_04542_),
-    .X(_05026_),
+ sky130_fd_sc_hd__inv_2 _15567_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ),
+    .Y(_05026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _16290_ (.A(_05025_),
-    .B(_05026_),
-    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
-    .X(_05027_),
+ sky130_fd_sc_hd__inv_2 _15568_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
+    .Y(_05027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16291_ (.A1(_05021_),
-    .A2(_05022_),
-    .B1(_05023_),
-    .B2(_05024_),
-    .C1(_05027_),
-    .X(_05028_),
+ sky130_fd_sc_hd__inv_2 _15569_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
+    .Y(_05028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16292_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ),
-    .Y(_05029_),
+ sky130_fd_sc_hd__o22a_1 _15570_ (.A1(_05027_),
+    .A2(_04826_),
+    .B1(_05028_),
+    .B2(_04828_),
+    .X(_05029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16293_ (.A(_04554_),
+ sky130_fd_sc_hd__o221a_1 _15571_ (.A1(_05025_),
+    .A2(_04822_),
+    .B1(_05026_),
+    .B2(_04824_),
+    .C1(_05029_),
     .X(_05030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16294_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
+ sky130_fd_sc_hd__inv_2 _15572_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
     .Y(_05031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16295_ (.A(_04557_),
-    .X(_05032_),
+ sky130_fd_sc_hd__inv_2 _15573_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
+    .Y(_05032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16296_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
+ sky130_fd_sc_hd__inv_2 _15574_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ),
     .Y(_05033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16297_ (.A(_04560_),
-    .X(_05034_),
+ sky130_fd_sc_hd__inv_2 _15575_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
+    .Y(_05034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16298_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
-    .Y(_05035_),
+ sky130_fd_sc_hd__o22a_1 _15576_ (.A1(_05033_),
+    .A2(_04836_),
+    .B1(_05034_),
+    .B2(_04838_),
+    .X(_05035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16299_ (.A(_04563_),
+ sky130_fd_sc_hd__o221a_1 _15577_ (.A1(_05031_),
+    .A2(_04832_),
+    .B1(_05032_),
+    .B2(_04834_),
+    .C1(_05035_),
     .X(_05036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16300_ (.A1(_05033_),
-    .A2(_05034_),
-    .B1(_05035_),
-    .B2(_05036_),
+ sky130_fd_sc_hd__and4_1 _15578_ (.A(_05018_),
+    .B(_05024_),
+    .C(_05030_),
+    .D(_05036_),
     .X(_05037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16301_ (.A1(_05029_),
-    .A2(_05030_),
-    .B1(_05031_),
-    .B2(_05032_),
-    .C1(_05037_),
-    .X(_05038_),
+ sky130_fd_sc_hd__nand4_2 _15579_ (.A(_04964_),
+    .B(_04989_),
+    .C(_05012_),
+    .D(_05037_),
+    .Y(_00735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _16302_ (.A(_05010_),
-    .B(_05020_),
-    .C(_05028_),
-    .D(_05038_),
-    .X(_05039_),
+ sky130_fd_sc_hd__inv_2 _15580_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
+    .Y(_05038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16303_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ),
+ sky130_fd_sc_hd__inv_2 _15581_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
+    .Y(_05039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _15582_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ),
     .Y(_05040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16304_ (.A(_04569_),
-    .X(_05041_),
+ sky130_fd_sc_hd__inv_2 _15583_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
+    .Y(_05041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16305_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
-    .Y(_05042_),
+ sky130_fd_sc_hd__o22a_1 _15584_ (.A1(_05040_),
+    .A2(_04686_),
+    .B1(_05041_),
+    .B2(_04688_),
+    .X(_05042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16306_ (.A(_04572_),
+ sky130_fd_sc_hd__o221a_1 _15585_ (.A1(_05038_),
+    .A2(_04682_),
+    .B1(_05039_),
+    .B2(_04684_),
+    .C1(_05042_),
     .X(_05043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16307_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
+ sky130_fd_sc_hd__inv_2 _15586_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
     .Y(_05044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16308_ (.A(_04575_),
-    .X(_05045_),
+ sky130_fd_sc_hd__inv_2 _15587_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
+    .Y(_05045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16309_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
+ sky130_fd_sc_hd__inv_2 _15588_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
     .Y(_05046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16310_ (.A(_04578_),
-    .X(_05047_),
+ sky130_fd_sc_hd__inv_2 _15589_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
+    .Y(_05047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16311_ (.A1(_05044_),
-    .A2(_05045_),
-    .B1(_05046_),
-    .B2(_05047_),
+ sky130_fd_sc_hd__o22a_1 _15590_ (.A1(_05046_),
+    .A2(_04696_),
+    .B1(_05047_),
+    .B2(_04698_),
     .X(_05048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16312_ (.A1(_05040_),
-    .A2(_05041_),
-    .B1(_05042_),
-    .B2(_05043_),
+ sky130_fd_sc_hd__o221a_1 _15591_ (.A1(_05044_),
+    .A2(_04692_),
+    .B1(_05045_),
+    .B2(_04694_),
     .C1(_05048_),
     .X(_05049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16313_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
+ sky130_fd_sc_hd__inv_2 _15592_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
     .Y(_05050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16314_ (.A(_04583_),
-    .X(_05051_),
+ sky130_fd_sc_hd__inv_2 _15593_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
+    .Y(_05051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16315_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ),
+ sky130_fd_sc_hd__inv_2 _15594_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
     .Y(_05052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16316_ (.A(_04586_),
-    .X(_05053_),
+ sky130_fd_sc_hd__inv_2 _15595_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
+    .Y(_05053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16317_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
-    .Y(_05054_),
+ sky130_fd_sc_hd__o22a_1 _15596_ (.A1(_05052_),
+    .A2(_04706_),
+    .B1(_05053_),
+    .B2(_04708_),
+    .X(_05054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16318_ (.A(_04589_),
+ sky130_fd_sc_hd__o221a_1 _15597_ (.A1(_05050_),
+    .A2(_04702_),
+    .B1(_05051_),
+    .B2(_04704_),
+    .C1(_05054_),
     .X(_05055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16319_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
+ sky130_fd_sc_hd__inv_2 _15598_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
     .Y(_05056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16320_ (.A(_04592_),
-    .X(_05057_),
+ sky130_fd_sc_hd__inv_2 _15599_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
+    .Y(_05057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16321_ (.A1(_05054_),
-    .A2(_05055_),
-    .B1(_05056_),
-    .B2(_05057_),
-    .X(_05058_),
+ sky130_fd_sc_hd__inv_2 _15600_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
+    .Y(_05058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16322_ (.A1(_05050_),
-    .A2(_05051_),
-    .B1(_05052_),
-    .B2(_05053_),
-    .C1(_05058_),
-    .X(_05059_),
+ sky130_fd_sc_hd__inv_2 _15601_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
+    .Y(_05059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16323_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
-    .Y(_05060_),
+ sky130_fd_sc_hd__o22a_1 _15602_ (.A1(_05058_),
+    .A2(_04716_),
+    .B1(_05059_),
+    .B2(_04718_),
+    .X(_05060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16324_ (.A(_04597_),
+ sky130_fd_sc_hd__o221a_1 _15603_ (.A1(_05056_),
+    .A2(_04712_),
+    .B1(_05057_),
+    .B2(_04714_),
+    .C1(_05060_),
     .X(_05061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16325_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
-    .Y(_05062_),
+ sky130_fd_sc_hd__and4_1 _15604_ (.A(_05043_),
+    .B(_05049_),
+    .C(_05055_),
+    .D(_05061_),
+    .X(_05062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16326_ (.A(_04600_),
-    .X(_05063_),
+ sky130_fd_sc_hd__inv_2 _15605_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
+    .Y(_05063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16327_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
+ sky130_fd_sc_hd__inv_2 _15606_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
     .Y(_05064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16328_ (.A(_04603_),
-    .X(_05065_),
+ sky130_fd_sc_hd__inv_2 _15607_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
+    .Y(_05065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16329_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
+ sky130_fd_sc_hd__inv_2 _15608_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
     .Y(_05066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16330_ (.A(_04606_),
+ sky130_fd_sc_hd__o22a_1 _15609_ (.A1(_05065_),
+    .A2(_04726_),
+    .B1(_05066_),
+    .B2(_04728_),
     .X(_05067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16331_ (.A1(_05064_),
-    .A2(_05065_),
-    .B1(_05066_),
-    .B2(_05067_),
+ sky130_fd_sc_hd__o221a_1 _15610_ (.A1(_05063_),
+    .A2(_04723_),
+    .B1(_05064_),
+    .B2(_06425_),
+    .C1(_05067_),
     .X(_05068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16332_ (.A1(_05060_),
-    .A2(_05061_),
-    .B1(_05062_),
-    .B2(_05063_),
-    .C1(_05068_),
-    .X(_05069_),
+ sky130_fd_sc_hd__inv_2 _15611_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
+    .Y(_05069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16333_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
+ sky130_fd_sc_hd__inv_2 _15612_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
     .Y(_05070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16334_ (.A(_04611_),
-    .X(_05071_),
+ sky130_fd_sc_hd__inv_2 _15613_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
+    .Y(_05071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16335_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
+ sky130_fd_sc_hd__inv_2 _15614_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
     .Y(_05072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16336_ (.A(_04614_),
+ sky130_fd_sc_hd__o22a_1 _15615_ (.A1(_05071_),
+    .A2(_04736_),
+    .B1(_05072_),
+    .B2(_04738_),
     .X(_05073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16337_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
-    .Y(_05074_),
+ sky130_fd_sc_hd__o221a_1 _15616_ (.A1(_05069_),
+    .A2(_04732_),
+    .B1(_05070_),
+    .B2(_04734_),
+    .C1(_05073_),
+    .X(_05074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16338_ (.A(_04617_),
-    .X(_05075_),
+ sky130_fd_sc_hd__inv_2 _15617_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
+    .Y(_05075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16339_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
+ sky130_fd_sc_hd__inv_2 _15618_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
     .Y(_05076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16340_ (.A(_04620_),
-    .X(_05077_),
+ sky130_fd_sc_hd__inv_2 _15619_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
+    .Y(_05077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16341_ (.A1(_05074_),
-    .A2(_05075_),
-    .B1(_05076_),
-    .B2(_05077_),
-    .X(_05078_),
+ sky130_fd_sc_hd__inv_2 _15620_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
+    .Y(_05078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16342_ (.A1(_05070_),
-    .A2(_05071_),
-    .B1(_05072_),
-    .B2(_05073_),
-    .C1(_05078_),
+ sky130_fd_sc_hd__o22a_1 _15621_ (.A1(_05077_),
+    .A2(_04746_),
+    .B1(_05078_),
+    .B2(_04748_),
     .X(_05079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16343_ (.A(_05049_),
-    .B(_05059_),
-    .C(_05069_),
-    .D(_05079_),
+ sky130_fd_sc_hd__o221a_1 _15622_ (.A1(_05075_),
+    .A2(_04742_),
+    .B1(_05076_),
+    .B2(_04744_),
+    .C1(_05079_),
     .X(_05080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand4_4 _16344_ (.A(_04960_),
-    .B(_05000_),
-    .C(_05039_),
-    .D(_05080_),
-    .Y(_00731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16345_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
+ sky130_fd_sc_hd__inv_2 _15623_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
     .Y(_05081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16346_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
+ sky130_fd_sc_hd__inv_2 _15624_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
     .Y(_05082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16347_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
+ sky130_fd_sc_hd__inv_2 _15625_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
     .Y(_05083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16348_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
+ sky130_fd_sc_hd__inv_2 _15626_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
     .Y(_05084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16349_ (.A1(_05083_),
-    .A2(_04925_),
+ sky130_fd_sc_hd__o22a_1 _15627_ (.A1(_05083_),
+    .A2(_04756_),
     .B1(_05084_),
-    .B2(_04927_),
+    .B2(_04758_),
     .X(_05085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16350_ (.A1(_05081_),
-    .A2(_04921_),
+ sky130_fd_sc_hd__o221a_1 _15628_ (.A1(_05081_),
+    .A2(_04752_),
     .B1(_05082_),
-    .B2(_04923_),
+    .B2(_04754_),
     .C1(_05085_),
     .X(_05086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16351_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
-    .Y(_05087_),
+ sky130_fd_sc_hd__and4_2 _15629_ (.A(_05068_),
+    .B(_05074_),
+    .C(_05080_),
+    .D(_05086_),
+    .X(_05087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16352_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
+ sky130_fd_sc_hd__inv_2 _15630_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
     .Y(_05088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16353_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
+ sky130_fd_sc_hd__inv_2 _15631_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
     .Y(_05089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16354_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
+ sky130_fd_sc_hd__inv_2 _15632_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
     .Y(_05090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16355_ (.A1(_05089_),
-    .A2(_04935_),
-    .B1(_05090_),
-    .B2(_04937_),
-    .X(_05091_),
+ sky130_fd_sc_hd__inv_2 _15633_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
+    .Y(_05091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16356_ (.A1(_05087_),
-    .A2(_04931_),
-    .B1(_05088_),
-    .B2(_04933_),
-    .C1(_05091_),
+ sky130_fd_sc_hd__o22a_1 _15634_ (.A1(_05090_),
+    .A2(_04767_),
+    .B1(_05091_),
+    .B2(_04769_),
     .X(_05092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16357_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
-    .Y(_05093_),
+ sky130_fd_sc_hd__o221a_1 _15635_ (.A1(_05088_),
+    .A2(_04763_),
+    .B1(_05089_),
+    .B2(_04765_),
+    .C1(_05092_),
+    .X(_05093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16358_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
+ sky130_fd_sc_hd__inv_2 _15636_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ),
     .Y(_05094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16359_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
+ sky130_fd_sc_hd__inv_2 _15637_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
     .Y(_05095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16360_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
+ sky130_fd_sc_hd__inv_2 _15638_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
     .Y(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16361_ (.A1(_05095_),
-    .A2(_04945_),
-    .B1(_05096_),
-    .B2(_04947_),
-    .X(_05097_),
+ sky130_fd_sc_hd__inv_2 _15639_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
+    .Y(_05097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16362_ (.A1(_05093_),
-    .A2(_04941_),
-    .B1(_05094_),
-    .B2(_04943_),
-    .C1(_05097_),
+ sky130_fd_sc_hd__o22a_1 _15640_ (.A1(_05096_),
+    .A2(_04777_),
+    .B1(_05097_),
+    .B2(_04779_),
     .X(_05098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16363_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
-    .Y(_05099_),
+ sky130_fd_sc_hd__o221a_1 _15641_ (.A1(_05094_),
+    .A2(_04773_),
+    .B1(_05095_),
+    .B2(_04775_),
+    .C1(_05098_),
+    .X(_05099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16364_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
+ sky130_fd_sc_hd__inv_2 _15642_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ),
     .Y(_05100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16365_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
+ sky130_fd_sc_hd__inv_2 _15643_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ),
     .Y(_05101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16366_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
-    .Y(_05102_),
+ sky130_fd_sc_hd__or3b_1 _15644_ (.A(_04786_),
+    .B(_04787_),
+    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
+    .X(_05102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16367_ (.A1(_05101_),
-    .A2(_04955_),
-    .B1(_05102_),
-    .B2(_04957_),
+ sky130_fd_sc_hd__o221a_1 _15645_ (.A1(_05100_),
+    .A2(_04783_),
+    .B1(_05101_),
+    .B2(_04785_),
+    .C1(_05102_),
     .X(_05103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16368_ (.A1(_05099_),
-    .A2(_04951_),
-    .B1(_05100_),
-    .B2(_04953_),
-    .C1(_05103_),
-    .X(_05104_),
+ sky130_fd_sc_hd__inv_2 _15646_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ),
+    .Y(_05104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16369_ (.A(_05086_),
-    .B(_05092_),
-    .C(_05098_),
-    .D(_05104_),
-    .X(_05105_),
+ sky130_fd_sc_hd__inv_2 _15647_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
+    .Y(_05105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16370_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
+ sky130_fd_sc_hd__inv_2 _15648_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
     .Y(_05106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16371_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
+ sky130_fd_sc_hd__inv_2 _15649_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
     .Y(_05107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16372_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
-    .Y(_05108_),
+ sky130_fd_sc_hd__o22a_1 _15650_ (.A1(_05106_),
+    .A2(_04795_),
+    .B1(_05107_),
+    .B2(_04797_),
+    .X(_05108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16373_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
-    .Y(_05109_),
+ sky130_fd_sc_hd__o221a_1 _15651_ (.A1(_05104_),
+    .A2(_04791_),
+    .B1(_05105_),
+    .B2(_04793_),
+    .C1(_05108_),
+    .X(_05109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16374_ (.A1(_05108_),
-    .A2(_04965_),
-    .B1(_05109_),
-    .B2(_04967_),
+ sky130_fd_sc_hd__and4_2 _15652_ (.A(_05093_),
+    .B(_05099_),
+    .C(_05103_),
+    .D(_05109_),
     .X(_05110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16375_ (.A1(_05106_),
-    .A2(_04962_),
-    .B1(_05107_),
-    .B2(_04848_),
-    .C1(_05110_),
-    .X(_05111_),
+ sky130_fd_sc_hd__inv_2 _15653_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ),
+    .Y(_05111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16376_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
+ sky130_fd_sc_hd__inv_2 _15654_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
     .Y(_05112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16377_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
+ sky130_fd_sc_hd__inv_2 _15655_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
     .Y(_05113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16378_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
+ sky130_fd_sc_hd__inv_2 _15656_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
     .Y(_05114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16379_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
-    .Y(_05115_),
+ sky130_fd_sc_hd__o22a_1 _15657_ (.A1(_05113_),
+    .A2(_04806_),
+    .B1(_05114_),
+    .B2(_04808_),
+    .X(_05115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16380_ (.A1(_05114_),
-    .A2(_04975_),
-    .B1(_05115_),
-    .B2(_04977_),
+ sky130_fd_sc_hd__o221a_1 _15658_ (.A1(_05111_),
+    .A2(_04802_),
+    .B1(_05112_),
+    .B2(_04804_),
+    .C1(_05115_),
     .X(_05116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _16381_ (.A1(_05112_),
-    .A2(_04971_),
-    .B1(_05113_),
-    .B2(_04973_),
-    .C1(_05116_),
-    .X(_05117_),
+ sky130_fd_sc_hd__inv_2 _15659_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
+    .Y(_05117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16382_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
+ sky130_fd_sc_hd__inv_2 _15660_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
     .Y(_05118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16383_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
+ sky130_fd_sc_hd__inv_2 _15661_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
     .Y(_05119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16384_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
+ sky130_fd_sc_hd__inv_2 _15662_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
     .Y(_05120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16385_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
-    .Y(_05121_),
+ sky130_fd_sc_hd__o22a_1 _15663_ (.A1(_05119_),
+    .A2(_04816_),
+    .B1(_05120_),
+    .B2(_04818_),
+    .X(_05121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16386_ (.A1(_05120_),
-    .A2(_04985_),
-    .B1(_05121_),
-    .B2(_04987_),
+ sky130_fd_sc_hd__o221a_1 _15664_ (.A1(_05117_),
+    .A2(_04812_),
+    .B1(_05118_),
+    .B2(_04814_),
+    .C1(_05121_),
     .X(_05122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16387_ (.A1(_05118_),
-    .A2(_04981_),
-    .B1(_05119_),
-    .B2(_04983_),
-    .C1(_05122_),
-    .X(_05123_),
+ sky130_fd_sc_hd__inv_2 _15665_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
+    .Y(_05123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16388_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
+ sky130_fd_sc_hd__inv_2 _15666_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
     .Y(_05124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16389_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
+ sky130_fd_sc_hd__inv_2 _15667_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
     .Y(_05125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16390_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
+ sky130_fd_sc_hd__inv_2 _15668_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
     .Y(_05126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16391_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
-    .Y(_05127_),
+ sky130_fd_sc_hd__o22a_1 _15669_ (.A1(_05125_),
+    .A2(_04826_),
+    .B1(_05126_),
+    .B2(_04828_),
+    .X(_05127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16392_ (.A1(_05126_),
-    .A2(_04995_),
-    .B1(_05127_),
-    .B2(_04997_),
+ sky130_fd_sc_hd__o221a_1 _15670_ (.A1(_05123_),
+    .A2(_04822_),
+    .B1(_05124_),
+    .B2(_04824_),
+    .C1(_05127_),
     .X(_05128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16393_ (.A1(_05124_),
-    .A2(_04991_),
-    .B1(_05125_),
-    .B2(_04993_),
-    .C1(_05128_),
-    .X(_05129_),
+ sky130_fd_sc_hd__inv_2 _15671_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
+    .Y(_05129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16394_ (.A(_05111_),
-    .B(_05117_),
-    .C(_05123_),
-    .D(_05129_),
-    .X(_05130_),
+ sky130_fd_sc_hd__inv_2 _15672_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
+    .Y(_05130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16395_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
+ sky130_fd_sc_hd__inv_2 _15673_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
     .Y(_05131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16396_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
+ sky130_fd_sc_hd__inv_2 _15674_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
     .Y(_05132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16397_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
-    .Y(_05133_),
+ sky130_fd_sc_hd__o22a_1 _15675_ (.A1(_05131_),
+    .A2(_04836_),
+    .B1(_05132_),
+    .B2(_04838_),
+    .X(_05133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16398_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
-    .Y(_05134_),
+ sky130_fd_sc_hd__o221a_1 _15676_ (.A1(_05129_),
+    .A2(_04832_),
+    .B1(_05130_),
+    .B2(_04834_),
+    .C1(_05133_),
+    .X(_05134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16399_ (.A1(_05133_),
-    .A2(_05006_),
-    .B1(_05134_),
-    .B2(_05008_),
+ sky130_fd_sc_hd__and4_2 _15677_ (.A(_05116_),
+    .B(_05122_),
+    .C(_05128_),
+    .D(_05134_),
     .X(_05135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16400_ (.A1(_05131_),
-    .A2(_05002_),
-    .B1(_05132_),
-    .B2(_05004_),
-    .C1(_05135_),
-    .X(_05136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16401_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ),
-    .Y(_05137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16402_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
-    .Y(_05138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16403_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
-    .Y(_05139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16404_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
-    .Y(_05140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16405_ (.A1(_05139_),
-    .A2(_05016_),
-    .B1(_05140_),
-    .B2(_05018_),
-    .X(_05141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16406_ (.A1(_05137_),
-    .A2(_05012_),
-    .B1(_05138_),
-    .B2(_05014_),
-    .C1(_05141_),
-    .X(_05142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16407_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ),
-    .Y(_05143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16408_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
-    .Y(_05144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _16409_ (.A(_05025_),
-    .B(_05026_),
-    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
-    .X(_05145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16410_ (.A1(_05143_),
-    .A2(_05022_),
-    .B1(_05144_),
-    .B2(_05024_),
-    .C1(_05145_),
-    .X(_05146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16411_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ),
-    .Y(_05147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16412_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
-    .Y(_05148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16413_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
-    .Y(_05149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16414_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
-    .Y(_05150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16415_ (.A1(_05149_),
-    .A2(_05034_),
-    .B1(_05150_),
-    .B2(_05036_),
-    .X(_05151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16416_ (.A1(_05147_),
-    .A2(_05030_),
-    .B1(_05148_),
-    .B2(_05032_),
-    .C1(_05151_),
-    .X(_05152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _16417_ (.A(_05136_),
-    .B(_05142_),
-    .C(_05146_),
-    .D(_05152_),
-    .X(_05153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16418_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ),
-    .Y(_05154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16419_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
-    .Y(_05155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16420_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
-    .Y(_05156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16421_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
-    .Y(_05157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16422_ (.A1(_05156_),
-    .A2(_05045_),
-    .B1(_05157_),
-    .B2(_05047_),
-    .X(_05158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16423_ (.A1(_05154_),
-    .A2(_05041_),
-    .B1(_05155_),
-    .B2(_05043_),
-    .C1(_05158_),
-    .X(_05159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16424_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
-    .Y(_05160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16425_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
-    .Y(_05161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16426_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
-    .Y(_05162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16427_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
-    .Y(_05163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16428_ (.A1(_05162_),
-    .A2(_05055_),
-    .B1(_05163_),
-    .B2(_05057_),
-    .X(_05164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16429_ (.A1(_05160_),
-    .A2(_05051_),
-    .B1(_05161_),
-    .B2(_05053_),
-    .C1(_05164_),
-    .X(_05165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16430_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
-    .Y(_05166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16431_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
-    .Y(_05167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16432_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
-    .Y(_05168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16433_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
-    .Y(_05169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16434_ (.A1(_05168_),
-    .A2(_05065_),
-    .B1(_05169_),
-    .B2(_05067_),
-    .X(_05170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16435_ (.A1(_05166_),
-    .A2(_05061_),
-    .B1(_05167_),
-    .B2(_05063_),
-    .C1(_05170_),
-    .X(_05171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16436_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
-    .Y(_05172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16437_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
-    .Y(_05173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16438_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
-    .Y(_05174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16439_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ),
-    .Y(_05175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16440_ (.A1(_05174_),
-    .A2(_05075_),
-    .B1(_05175_),
-    .B2(_05077_),
-    .X(_05176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16441_ (.A1(_05172_),
-    .A2(_05071_),
-    .B1(_05173_),
-    .B2(_05073_),
-    .C1(_05176_),
-    .X(_05177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _16442_ (.A(_05159_),
-    .B(_05165_),
-    .C(_05171_),
-    .D(_05177_),
-    .X(_05178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand4_4 _16443_ (.A(_05105_),
-    .B(_05130_),
-    .C(_05153_),
-    .D(_05178_),
-    .Y(_00733_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16444_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
-    .Y(_05179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16445_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
-    .Y(_05180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16446_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ),
-    .Y(_05181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16447_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
-    .Y(_05182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16448_ (.A1(_05181_),
-    .A2(_04925_),
-    .B1(_05182_),
-    .B2(_04927_),
-    .X(_05183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16449_ (.A1(_05179_),
-    .A2(_04921_),
-    .B1(_05180_),
-    .B2(_04923_),
-    .C1(_05183_),
-    .X(_05184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16450_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
-    .Y(_05185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16451_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
-    .Y(_05186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16452_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
-    .Y(_05187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16453_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
-    .Y(_05188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16454_ (.A1(_05187_),
-    .A2(_04935_),
-    .B1(_05188_),
-    .B2(_04937_),
-    .X(_05189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16455_ (.A1(_05185_),
-    .A2(_04931_),
-    .B1(_05186_),
-    .B2(_04933_),
-    .C1(_05189_),
-    .X(_05190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16456_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
-    .Y(_05191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16457_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
-    .Y(_05192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16458_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
-    .Y(_05193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16459_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
-    .Y(_05194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16460_ (.A1(_05193_),
-    .A2(_04945_),
-    .B1(_05194_),
-    .B2(_04947_),
-    .X(_05195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16461_ (.A1(_05191_),
-    .A2(_04941_),
-    .B1(_05192_),
-    .B2(_04943_),
-    .C1(_05195_),
-    .X(_05196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16462_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
-    .Y(_05197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16463_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
-    .Y(_05198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16464_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
-    .Y(_05199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16465_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
-    .Y(_05200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16466_ (.A1(_05199_),
-    .A2(_04955_),
-    .B1(_05200_),
-    .B2(_04957_),
-    .X(_05201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16467_ (.A1(_05197_),
-    .A2(_04951_),
-    .B1(_05198_),
-    .B2(_04953_),
-    .C1(_05201_),
-    .X(_05202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16468_ (.A(_05184_),
-    .B(_05190_),
-    .C(_05196_),
-    .D(_05202_),
-    .X(_05203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16469_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
-    .Y(_05204_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16470_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
-    .Y(_05205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16471_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
-    .Y(_05206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16472_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
-    .Y(_05207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16473_ (.A1(_05206_),
-    .A2(_04965_),
-    .B1(_05207_),
-    .B2(_04967_),
-    .X(_05208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16474_ (.A1(_05204_),
-    .A2(_04962_),
-    .B1(_05205_),
-    .B2(_04848_),
-    .C1(_05208_),
-    .X(_05209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16475_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
-    .Y(_05210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16476_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
-    .Y(_05211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16477_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
-    .Y(_05212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16478_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
-    .Y(_05213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16479_ (.A1(_05212_),
-    .A2(_04975_),
-    .B1(_05213_),
-    .B2(_04977_),
-    .X(_05214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _16480_ (.A1(_05210_),
-    .A2(_04971_),
-    .B1(_05211_),
-    .B2(_04973_),
-    .C1(_05214_),
-    .X(_05215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16481_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
-    .Y(_05216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16482_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
-    .Y(_05217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16483_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
-    .Y(_05218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16484_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
-    .Y(_05219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16485_ (.A1(_05218_),
-    .A2(_04985_),
-    .B1(_05219_),
-    .B2(_04987_),
-    .X(_05220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16486_ (.A1(_05216_),
-    .A2(_04981_),
-    .B1(_05217_),
-    .B2(_04983_),
-    .C1(_05220_),
-    .X(_05221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16487_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
-    .Y(_05222_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16488_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
-    .Y(_05223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16489_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
-    .Y(_05224_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16490_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
-    .Y(_05225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16491_ (.A1(_05224_),
-    .A2(_04995_),
-    .B1(_05225_),
-    .B2(_04997_),
-    .X(_05226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16492_ (.A1(_05222_),
-    .A2(_04991_),
-    .B1(_05223_),
-    .B2(_04993_),
-    .C1(_05226_),
-    .X(_05227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16493_ (.A(_05209_),
-    .B(_05215_),
-    .C(_05221_),
-    .D(_05227_),
-    .X(_05228_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16494_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
-    .Y(_05229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16495_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ),
-    .Y(_05230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16496_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
-    .Y(_05231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16497_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
-    .Y(_05232_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16498_ (.A1(_05231_),
-    .A2(_05006_),
-    .B1(_05232_),
-    .B2(_05008_),
-    .X(_05233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16499_ (.A1(_05229_),
-    .A2(_05002_),
-    .B1(_05230_),
-    .B2(_05004_),
-    .C1(_05233_),
-    .X(_05234_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16500_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ),
-    .Y(_05235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16501_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
-    .Y(_05236_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16502_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
-    .Y(_05237_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16503_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
-    .Y(_05238_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16504_ (.A1(_05237_),
-    .A2(_05016_),
-    .B1(_05238_),
-    .B2(_05018_),
-    .X(_05239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16505_ (.A1(_05235_),
-    .A2(_05012_),
-    .B1(_05236_),
-    .B2(_05014_),
-    .C1(_05239_),
-    .X(_05240_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16506_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ),
-    .Y(_05241_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16507_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
-    .Y(_05242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _16508_ (.A(_05025_),
-    .B(_05026_),
-    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
-    .X(_05243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16509_ (.A1(_05241_),
-    .A2(_05022_),
-    .B1(_05242_),
-    .B2(_05024_),
-    .C1(_05243_),
-    .X(_05244_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16510_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ),
-    .Y(_05245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16511_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
-    .Y(_05246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16512_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
-    .Y(_05247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16513_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
-    .Y(_05248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16514_ (.A1(_05247_),
-    .A2(_05034_),
-    .B1(_05248_),
-    .B2(_05036_),
-    .X(_05249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16515_ (.A1(_05245_),
-    .A2(_05030_),
-    .B1(_05246_),
-    .B2(_05032_),
-    .C1(_05249_),
-    .X(_05250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _16516_ (.A(_05234_),
-    .B(_05240_),
-    .C(_05244_),
-    .D(_05250_),
-    .X(_05251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16517_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ),
-    .Y(_05252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16518_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
-    .Y(_05253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16519_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
-    .Y(_05254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16520_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
-    .Y(_05255_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16521_ (.A1(_05254_),
-    .A2(_05045_),
-    .B1(_05255_),
-    .B2(_05047_),
-    .X(_05256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16522_ (.A1(_05252_),
-    .A2(_05041_),
-    .B1(_05253_),
-    .B2(_05043_),
-    .C1(_05256_),
-    .X(_05257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16523_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
-    .Y(_05258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16524_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ),
-    .Y(_05259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16525_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
-    .Y(_05260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16526_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
-    .Y(_05261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16527_ (.A1(_05260_),
-    .A2(_05055_),
-    .B1(_05261_),
-    .B2(_05057_),
-    .X(_05262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16528_ (.A1(_05258_),
-    .A2(_05051_),
-    .B1(_05259_),
-    .B2(_05053_),
-    .C1(_05262_),
-    .X(_05263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16529_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ),
-    .Y(_05264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16530_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ),
-    .Y(_05265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16531_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
-    .Y(_05266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16532_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
-    .Y(_05267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16533_ (.A1(_05266_),
-    .A2(_05065_),
-    .B1(_05267_),
-    .B2(_05067_),
-    .X(_05268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16534_ (.A1(_05264_),
-    .A2(_05061_),
-    .B1(_05265_),
-    .B2(_05063_),
-    .C1(_05268_),
-    .X(_05269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16535_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
-    .Y(_05270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16536_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
-    .Y(_05271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16537_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ),
-    .Y(_05272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16538_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
-    .Y(_05273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16539_ (.A1(_05272_),
-    .A2(_05075_),
-    .B1(_05273_),
-    .B2(_05077_),
-    .X(_05274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16540_ (.A1(_05270_),
-    .A2(_05071_),
-    .B1(_05271_),
-    .B2(_05073_),
-    .C1(_05274_),
-    .X(_05275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16541_ (.A(_05257_),
-    .B(_05263_),
-    .C(_05269_),
-    .D(_05275_),
-    .X(_05276_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand4_4 _16542_ (.A(_05203_),
-    .B(_05228_),
-    .C(_05251_),
-    .D(_05276_),
-    .Y(_00735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16543_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
-    .Y(_05277_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16544_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
-    .Y(_05278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16545_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ),
-    .Y(_05279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16546_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
-    .Y(_05280_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16547_ (.A1(_05279_),
-    .A2(_04925_),
-    .B1(_05280_),
-    .B2(_04927_),
-    .X(_05281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16548_ (.A1(_05277_),
-    .A2(_04921_),
-    .B1(_05278_),
-    .B2(_04923_),
-    .C1(_05281_),
-    .X(_05282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16549_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
-    .Y(_05283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16550_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
-    .Y(_05284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16551_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
-    .Y(_05285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16552_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
-    .Y(_05286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16553_ (.A1(_05285_),
-    .A2(_04935_),
-    .B1(_05286_),
-    .B2(_04937_),
-    .X(_05287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16554_ (.A1(_05283_),
-    .A2(_04931_),
-    .B1(_05284_),
-    .B2(_04933_),
-    .C1(_05287_),
-    .X(_05288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16555_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
-    .Y(_05289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16556_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
-    .Y(_05290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16557_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
-    .Y(_05291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16558_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
-    .Y(_05292_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16559_ (.A1(_05291_),
-    .A2(_04945_),
-    .B1(_05292_),
-    .B2(_04947_),
-    .X(_05293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16560_ (.A1(_05289_),
-    .A2(_04941_),
-    .B1(_05290_),
-    .B2(_04943_),
-    .C1(_05293_),
-    .X(_05294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16561_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
-    .Y(_05295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16562_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
-    .Y(_05296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16563_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
-    .Y(_05297_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16564_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
-    .Y(_05298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16565_ (.A1(_05297_),
-    .A2(_04955_),
-    .B1(_05298_),
-    .B2(_04957_),
-    .X(_05299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16566_ (.A1(_05295_),
-    .A2(_04951_),
-    .B1(_05296_),
-    .B2(_04953_),
-    .C1(_05299_),
-    .X(_05300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16567_ (.A(_05282_),
-    .B(_05288_),
-    .C(_05294_),
-    .D(_05300_),
-    .X(_05301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16568_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
-    .Y(_05302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16569_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
-    .Y(_05303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16570_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
-    .Y(_05304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16571_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
-    .Y(_05305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16572_ (.A1(_05304_),
-    .A2(_04965_),
-    .B1(_05305_),
-    .B2(_04967_),
-    .X(_05306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16573_ (.A1(_05302_),
-    .A2(_04962_),
-    .B1(_05303_),
-    .B2(_06782_),
-    .C1(_05306_),
-    .X(_05307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16574_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
-    .Y(_05308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16575_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
-    .Y(_05309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16576_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
-    .Y(_05310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16577_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
-    .Y(_05311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16578_ (.A1(_05310_),
-    .A2(_04975_),
-    .B1(_05311_),
-    .B2(_04977_),
-    .X(_05312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_2 _16579_ (.A1(_05308_),
-    .A2(_04971_),
-    .B1(_05309_),
-    .B2(_04973_),
-    .C1(_05312_),
-    .X(_05313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16580_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
-    .Y(_05314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16581_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
-    .Y(_05315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16582_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
-    .Y(_05316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16583_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
-    .Y(_05317_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16584_ (.A1(_05316_),
-    .A2(_04985_),
-    .B1(_05317_),
-    .B2(_04987_),
-    .X(_05318_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16585_ (.A1(_05314_),
-    .A2(_04981_),
-    .B1(_05315_),
-    .B2(_04983_),
-    .C1(_05318_),
-    .X(_05319_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16586_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
-    .Y(_05320_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16587_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
-    .Y(_05321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16588_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
-    .Y(_05322_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16589_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
-    .Y(_05323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16590_ (.A1(_05322_),
-    .A2(_04995_),
-    .B1(_05323_),
-    .B2(_04997_),
-    .X(_05324_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16591_ (.A1(_05320_),
-    .A2(_04991_),
-    .B1(_05321_),
-    .B2(_04993_),
-    .C1(_05324_),
-    .X(_05325_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_2 _16592_ (.A(_05307_),
-    .B(_05313_),
-    .C(_05319_),
-    .D(_05325_),
-    .X(_05326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16593_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
-    .Y(_05327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16594_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
-    .Y(_05328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16595_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
-    .Y(_05329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16596_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
-    .Y(_05330_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16597_ (.A1(_05329_),
-    .A2(_05006_),
-    .B1(_05330_),
-    .B2(_05008_),
-    .X(_05331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16598_ (.A1(_05327_),
-    .A2(_05002_),
-    .B1(_05328_),
-    .B2(_05004_),
-    .C1(_05331_),
-    .X(_05332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16599_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ),
-    .Y(_05333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16600_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
-    .Y(_05334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16601_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
-    .Y(_05335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16602_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
-    .Y(_05336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16603_ (.A1(_05335_),
-    .A2(_05016_),
-    .B1(_05336_),
-    .B2(_05018_),
-    .X(_05337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16604_ (.A1(_05333_),
-    .A2(_05012_),
-    .B1(_05334_),
-    .B2(_05014_),
-    .C1(_05337_),
-    .X(_05338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16605_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ),
-    .Y(_05339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16606_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ),
-    .Y(_05340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _16607_ (.A(_05025_),
-    .B(_05026_),
-    .C_N(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
-    .X(_05341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16608_ (.A1(_05339_),
-    .A2(_05022_),
-    .B1(_05340_),
-    .B2(_05024_),
-    .C1(_05341_),
-    .X(_05342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16609_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ),
-    .Y(_05343_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16610_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
-    .Y(_05344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16611_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
-    .Y(_05345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16612_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
-    .Y(_05346_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _16613_ (.A1(_05345_),
-    .A2(_05034_),
-    .B1(_05346_),
-    .B2(_05036_),
-    .X(_05347_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16614_ (.A1(_05343_),
-    .A2(_05030_),
-    .B1(_05344_),
-    .B2(_05032_),
-    .C1(_05347_),
-    .X(_05348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_1 _16615_ (.A(_05332_),
-    .B(_05338_),
-    .C(_05342_),
-    .D(_05348_),
-    .X(_05349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16616_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ),
-    .Y(_05350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16617_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
-    .Y(_05351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16618_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
-    .Y(_05352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16619_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
-    .Y(_05353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16620_ (.A1(_05352_),
-    .A2(_05045_),
-    .B1(_05353_),
-    .B2(_05047_),
-    .X(_05354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16621_ (.A1(_05350_),
-    .A2(_05041_),
-    .B1(_05351_),
-    .B2(_05043_),
-    .C1(_05354_),
-    .X(_05355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16622_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
-    .Y(_05356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16623_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
-    .Y(_05357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16624_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
-    .Y(_05358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16625_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
-    .Y(_05359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16626_ (.A1(_05358_),
-    .A2(_05055_),
-    .B1(_05359_),
-    .B2(_05057_),
-    .X(_05360_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16627_ (.A1(_05356_),
-    .A2(_05051_),
-    .B1(_05357_),
-    .B2(_05053_),
-    .C1(_05360_),
-    .X(_05361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16628_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
-    .Y(_05362_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16629_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
-    .Y(_05363_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16630_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
-    .Y(_05364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16631_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
-    .Y(_05365_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16632_ (.A1(_05364_),
-    .A2(_05065_),
-    .B1(_05365_),
-    .B2(_05067_),
-    .X(_05366_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16633_ (.A1(_05362_),
-    .A2(_05061_),
-    .B1(_05363_),
-    .B2(_05063_),
-    .C1(_05366_),
-    .X(_05367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16634_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
-    .Y(_05368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16635_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
-    .Y(_05369_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16636_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
-    .Y(_05370_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16637_ (.A(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
-    .Y(_05371_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16638_ (.A1(_05370_),
-    .A2(_05075_),
-    .B1(_05371_),
-    .B2(_05077_),
-    .X(_05372_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16639_ (.A1(_05368_),
-    .A2(_05071_),
-    .B1(_05369_),
-    .B2(_05073_),
-    .C1(_05372_),
-    .X(_05373_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _16640_ (.A(_05355_),
-    .B(_05361_),
-    .C(_05367_),
-    .D(_05373_),
-    .X(_05374_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand4_4 _16641_ (.A(_05301_),
-    .B(_05326_),
-    .C(_05349_),
-    .D(_05374_),
+ sky130_fd_sc_hd__nand4_4 _15678_ (.A(_05062_),
+    .B(_05087_),
+    .C(_05110_),
+    .D(_05135_),
     .Y(_00737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_4 _16642_ (.A(_05645_),
+ sky130_fd_sc_hd__inv_4 _15679_ (.A(_05387_),
     .Y(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _16643_ (.A(_05661_),
-    .B(_05644_),
-    .C(_05620_),
-    .X(_05375_),
+ sky130_fd_sc_hd__and3_1 _15680_ (.A(_05395_),
+    .B(net8),
+    .C(net10),
+    .X(_05136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _16644_ (.A(_05375_),
-    .X(_05376_),
+ sky130_fd_sc_hd__buf_2 _15681_ (.A(_05136_),
+    .X(_05137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16645_ (.A(_05621_),
-    .Y(_05377_),
+ sky130_fd_sc_hd__inv_2 _15682_ (.A(_05380_),
+    .Y(_05138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16646_ (.A(_05377_),
-    .X(_05378_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15683_ (.A(_05138_),
+    .X(_05139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16647_ (.A(_05378_),
-    .X(_05379_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15684_ (.A(_05139_),
+    .X(_05140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16648_ (.A(_05662_),
-    .Y(_05380_),
+ sky130_fd_sc_hd__inv_2 _15685_ (.A(_05403_),
+    .Y(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16649_ (.A(_05380_),
-    .X(_05381_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15686_ (.A(_05141_),
+    .X(_05142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _16650_ (.A(_05616_),
-    .B(_05618_),
-    .C(_05620_),
-    .X(_05382_),
+ sky130_fd_sc_hd__and3_1 _15687_ (.A(net9),
+    .B(_05379_),
+    .C(net10),
+    .X(_05143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16651_ (.A(_05382_),
-    .X(_05383_),
+ sky130_fd_sc_hd__clkbuf_1 _15688_ (.A(_05143_),
+    .X(_05144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16652_ (.A(_05610_),
-    .Y(_05384_),
+ sky130_fd_sc_hd__inv_2 _15689_ (.A(_05371_),
+    .Y(_05145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16653_ (.A(_05384_),
-    .X(_05385_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15690_ (.A(_05145_),
+    .X(_05146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16654_ (.A(_05654_),
-    .Y(_05386_),
+ sky130_fd_sc_hd__inv_2 _15691_ (.A(_05396_),
+    .Y(_05147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16655_ (.A(_05386_),
-    .X(_05387_),
+ sky130_fd_sc_hd__clkbuf_2 _15692_ (.A(_05147_),
+    .X(_05148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16656_ (.A1(\u_i2cm.irq_flag ),
-    .A2(_05385_),
+ sky130_fd_sc_hd__a22o_1 _15693_ (.A1(\u_i2cm.irq_flag ),
+    .A2(_05146_),
     .B1(\u_i2cm.ctr[0] ),
-    .B2(_05387_),
-    .X(_05388_),
+    .B2(_05148_),
+    .X(_05149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16657_ (.A1(\u_i2cm.rxr[0] ),
-    .A2(_05381_),
+ sky130_fd_sc_hd__a221o_1 _15694_ (.A1(\u_i2cm.rxr[0] ),
+    .A2(_05142_),
     .B1(\u_i2cm.cr[0] ),
-    .B2(_05383_),
-    .C1(_05388_),
-    .X(_05389_),
+    .B2(_05144_),
+    .C1(_05149_),
+    .X(_05150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16658_ (.A1(\u_i2cm.txr[0] ),
-    .A2(_05376_),
+ sky130_fd_sc_hd__a221o_1 _15695_ (.A1(\u_i2cm.txr[0] ),
+    .A2(_05137_),
     .B1(\u_i2cm.prer[8] ),
-    .B2(_05379_),
-    .C1(_05389_),
+    .B2(_05140_),
+    .C1(_05150_),
     .X(_00740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16659_ (.A(_05386_),
-    .X(_05390_),
+ sky130_fd_sc_hd__clkbuf_1 _15696_ (.A(_05147_),
+    .X(_05151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16660_ (.A1(\u_i2cm.tip ),
-    .A2(_05385_),
+ sky130_fd_sc_hd__a22o_1 _15697_ (.A1(\u_i2cm.tip ),
+    .A2(_05146_),
     .B1(\u_i2cm.ctr[1] ),
-    .B2(_05390_),
-    .X(_05391_),
+    .B2(_05151_),
+    .X(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16661_ (.A1(\u_i2cm.rxr[1] ),
-    .A2(_05381_),
+ sky130_fd_sc_hd__a221o_1 _15698_ (.A1(\u_i2cm.rxr[1] ),
+    .A2(_05142_),
     .B1(\u_i2cm.cr[1] ),
-    .B2(_05383_),
-    .C1(_05391_),
-    .X(_05392_),
+    .B2(_05144_),
+    .C1(_05152_),
+    .X(_05153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16662_ (.A1(\u_i2cm.txr[1] ),
-    .A2(_05376_),
+ sky130_fd_sc_hd__a221o_1 _15699_ (.A1(\u_i2cm.txr[1] ),
+    .A2(_05137_),
     .B1(\u_i2cm.prer[9] ),
-    .B2(_05379_),
-    .C1(_05392_),
+    .B2(_05140_),
+    .C1(_05153_),
     .X(_00741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16663_ (.A1(\u_i2cm.prer[10] ),
-    .A2(_05378_),
+ sky130_fd_sc_hd__a22o_1 _15700_ (.A1(\u_i2cm.prer[10] ),
+    .A2(_05139_),
     .B1(\u_i2cm.cr[2] ),
-    .B2(_05383_),
-    .X(_05393_),
+    .B2(_05144_),
+    .X(_05154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16664_ (.A(_05375_),
-    .X(_05394_),
+ sky130_fd_sc_hd__clkbuf_2 _15701_ (.A(_05136_),
+    .X(_05155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16665_ (.A1(\u_i2cm.txr[2] ),
-    .A2(_05394_),
+ sky130_fd_sc_hd__a22o_1 _15702_ (.A1(\u_i2cm.txr[2] ),
+    .A2(_05155_),
     .B1(\u_i2cm.ctr[2] ),
-    .B2(_05387_),
-    .X(_05395_),
+    .B2(_05148_),
+    .X(_05156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_1 _16666_ (.A1(\u_i2cm.rxr[2] ),
-    .A2(_05381_),
-    .B1(_05393_),
-    .C1(_05395_),
+ sky130_fd_sc_hd__a211o_1 _15703_ (.A1(\u_i2cm.rxr[2] ),
+    .A2(_05142_),
+    .B1(_05154_),
+    .C1(_05156_),
     .X(_00742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16667_ (.A1(\u_i2cm.prer[11] ),
-    .A2(_05378_),
+ sky130_fd_sc_hd__a22o_1 _15704_ (.A1(\u_i2cm.prer[11] ),
+    .A2(_05139_),
     .B1(\u_i2cm.ack ),
-    .B2(_05383_),
-    .X(_05396_),
+    .B2(_05144_),
+    .X(_05157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16668_ (.A1(\u_i2cm.txr[3] ),
-    .A2(_05375_),
+ sky130_fd_sc_hd__a22o_1 _15705_ (.A1(\u_i2cm.txr[3] ),
+    .A2(_05136_),
     .B1(\u_i2cm.ctr[3] ),
-    .B2(_05387_),
-    .X(_05397_),
+    .B2(_05148_),
+    .X(_05158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_1 _16669_ (.A1(\u_i2cm.rxr[3] ),
-    .A2(_05381_),
-    .B1(_05396_),
-    .C1(_05397_),
+ sky130_fd_sc_hd__a211o_1 _15706_ (.A1(\u_i2cm.rxr[3] ),
+    .A2(_05142_),
+    .B1(_05157_),
+    .C1(_05158_),
     .X(_00743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16670_ (.A(_05380_),
-    .X(_05398_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15707_ (.A(_05141_),
+    .X(_05159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16671_ (.A(_05382_),
-    .X(_05399_),
+ sky130_fd_sc_hd__clkbuf_1 _15708_ (.A(_05143_),
+    .X(_05160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _16672_ (.A(\u_i2cm.ctr[4] ),
-    .B(_05390_),
-    .X(_05400_),
+ sky130_fd_sc_hd__and2_1 _15709_ (.A(\u_i2cm.ctr[4] ),
+    .B(_05151_),
+    .X(_05161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16673_ (.A1(\u_i2cm.rxr[4] ),
-    .A2(_05398_),
+ sky130_fd_sc_hd__a221o_1 _15710_ (.A1(\u_i2cm.rxr[4] ),
+    .A2(_05159_),
     .B1(\u_i2cm.cr[4] ),
-    .B2(_05399_),
-    .C1(_05400_),
-    .X(_05401_),
+    .B2(_05160_),
+    .C1(_05161_),
+    .X(_05162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16674_ (.A1(\u_i2cm.txr[4] ),
-    .A2(_05376_),
+ sky130_fd_sc_hd__a221o_1 _15711_ (.A1(\u_i2cm.txr[4] ),
+    .A2(_05137_),
     .B1(\u_i2cm.prer[12] ),
-    .B2(_05379_),
-    .C1(_05401_),
+    .B2(_05140_),
+    .C1(_05162_),
     .X(_00744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16675_ (.A1(\u_i2cm.al ),
-    .A2(_05385_),
+ sky130_fd_sc_hd__a22o_1 _15712_ (.A1(\u_i2cm.al ),
+    .A2(_05146_),
     .B1(\u_i2cm.ctr[5] ),
-    .B2(_05390_),
-    .X(_05402_),
+    .B2(_05151_),
+    .X(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16676_ (.A1(\u_i2cm.rxr[5] ),
-    .A2(_05398_),
-    .B1(_07040_),
-    .B2(_05399_),
-    .C1(_05402_),
-    .X(_05403_),
+ sky130_fd_sc_hd__a221o_1 _15713_ (.A1(\u_i2cm.rxr[5] ),
+    .A2(_05159_),
+    .B1(\u_i2cm.cr[5] ),
+    .B2(_05160_),
+    .C1(_05163_),
+    .X(_05164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16677_ (.A1(\u_i2cm.txr[5] ),
-    .A2(_05394_),
+ sky130_fd_sc_hd__a221o_1 _15714_ (.A1(\u_i2cm.txr[5] ),
+    .A2(_05155_),
     .B1(\u_i2cm.prer[13] ),
-    .B2(_05379_),
-    .C1(_05403_),
+    .B2(_05140_),
+    .C1(_05164_),
     .X(_00745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16678_ (.A1(\u_i2cm.i2c_busy ),
-    .A2(_05385_),
+ sky130_fd_sc_hd__a22o_1 _15715_ (.A1(\u_i2cm.i2c_busy ),
+    .A2(_05146_),
     .B1(\u_i2cm.ctr[6] ),
-    .B2(_05390_),
-    .X(_05404_),
+    .B2(_05151_),
+    .X(_05165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16679_ (.A1(\u_i2cm.rxr[6] ),
-    .A2(_05398_),
-    .B1(_07039_),
-    .B2(_05399_),
-    .C1(_05404_),
-    .X(_05405_),
+ sky130_fd_sc_hd__a221o_1 _15716_ (.A1(\u_i2cm.rxr[6] ),
+    .A2(_05159_),
+    .B1(\u_i2cm.cr[6] ),
+    .B2(_05160_),
+    .C1(_05165_),
+    .X(_05166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16680_ (.A1(\u_i2cm.txr[6] ),
-    .A2(_05394_),
+ sky130_fd_sc_hd__a221o_1 _15717_ (.A1(\u_i2cm.txr[6] ),
+    .A2(_05155_),
     .B1(\u_i2cm.prer[14] ),
-    .B2(_05378_),
-    .C1(_05405_),
+    .B2(_05139_),
+    .C1(_05166_),
     .X(_00746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16681_ (.A1(\u_i2cm.rxack ),
-    .A2(_05384_),
-    .B1(_07037_),
-    .B2(_05399_),
-    .X(_05406_),
+ sky130_fd_sc_hd__a22o_1 _15718_ (.A1(\u_i2cm.rxack ),
+    .A2(_05145_),
+    .B1(\u_i2cm.cr[7] ),
+    .B2(_05160_),
+    .X(_05167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16682_ (.A1(\u_i2cm.rxr[7] ),
-    .A2(_05398_),
+ sky130_fd_sc_hd__a221o_1 _15719_ (.A1(\u_i2cm.rxr[7] ),
+    .A2(_05159_),
     .B1(\u_i2cm.prer[15] ),
-    .B2(_05377_),
-    .C1(_05406_),
-    .X(_05407_),
+    .B2(_05138_),
+    .C1(_05167_),
+    .X(_05168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16683_ (.A1(\u_i2cm.txr[7] ),
-    .A2(_05394_),
-    .B1(_05652_),
-    .B2(_05387_),
-    .C1(_05407_),
+ sky130_fd_sc_hd__a221o_1 _15720_ (.A1(\u_i2cm.txr[7] ),
+    .A2(_05155_),
+    .B1(\u_i2cm.core_en ),
+    .B2(_05148_),
+    .C1(_05168_),
     .X(_00747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _16684_ (.A(_07269_),
+ sky130_fd_sc_hd__nand2_1 _15721_ (.A(net11),
     .B(_00739_),
-    .Y(_05408_),
+    .Y(_05169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16685_ (.A(_05408_),
-    .X(_05409_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15722_ (.A(_05169_),
+    .X(_05170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31a_2 _16686_ (.A1(_07269_),
+ sky130_fd_sc_hd__o31a_2 _15723_ (.A1(net11),
     .A2(_00739_),
-    .A3(_05376_),
-    .B1(_05409_),
+    .A3(_05137_),
+    .B1(_05170_),
     .X(_00748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _16687_ (.A(_05616_),
-    .B(_05644_),
-    .C(_05764_),
-    .D(_05609_),
-    .X(_05410_),
+ sky130_fd_sc_hd__or4_2 _15724_ (.A(net9),
+    .B(net8),
+    .C(net11),
+    .D(_05370_),
+    .X(_05171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16688_ (.A(_00753_),
-    .Y(_05411_),
+ sky130_fd_sc_hd__inv_2 _15725_ (.A(_00753_),
+    .Y(_05172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _16689_ (.A(_05661_),
-    .B(_05618_),
-    .C(_05765_),
-    .D(_05609_),
-    .X(_05412_),
+ sky130_fd_sc_hd__or4_2 _15726_ (.A(_05395_),
+    .B(_05379_),
+    .C(net11),
+    .D(_05370_),
+    .X(_05173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16690_ (.A(_05412_),
-    .Y(_05413_),
+ sky130_fd_sc_hd__inv_2 _15727_ (.A(_05173_),
+    .Y(_05174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _16691_ (.A1(_03127_),
-    .A2(_03134_),
-    .B1(_05413_),
-    .Y(_05414_),
+ sky130_fd_sc_hd__o21ai_1 _15728_ (.A1(_08057_),
+    .A2(_08064_),
+    .B1(_05174_),
+    .Y(_05175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_1 _16692_ (.A1(_03143_),
-    .A2(_05410_),
-    .B1(_05411_),
-    .B2(_06915_),
-    .C1(_05414_),
-    .Y(_05415_),
+ sky130_fd_sc_hd__o221ai_1 _15729_ (.A1(_08074_),
+    .A2(_05171_),
+    .B1(_05172_),
+    .B2(_06542_),
+    .C1(_05175_),
+    .Y(_05176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16693_ (.A(_06891_),
-    .X(_05416_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15730_ (.A(_06527_),
+    .X(_05177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22ai_1 _16694_ (.A1(_03217_),
-    .A2(_05416_),
-    .B1(_03228_),
-    .B2(_06919_),
-    .Y(_05417_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15731_ (.A(_06522_),
+    .X(_05178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _16695_ (.A1(_07269_),
-    .A2(_05767_),
-    .A3(_05763_),
-    .B1(_03175_),
-    .B2(_05408_),
-    .X(_05418_),
+ sky130_fd_sc_hd__o22ai_1 _15732_ (.A1(_02944_),
+    .A2(_05177_),
+    .B1(_02954_),
+    .B2(_05178_),
+    .Y(_05179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _16696_ (.A(_05415_),
-    .B(_05417_),
-    .C_N(_05418_),
+ sky130_fd_sc_hd__o32a_1 _15733_ (.A1(net11),
+    .A2(_05500_),
+    .A3(_05499_),
+    .B1(_02870_),
+    .B2(_05169_),
+    .X(_05180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3b_1 _15734_ (.A(_05176_),
+    .B(_05179_),
+    .C_N(_05180_),
+    .X(_05181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15735_ (.A(_05181_),
     .X(_00754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _16697_ (.A(_03127_),
-    .B(_03135_),
-    .C(_03134_),
-    .X(_05419_),
+ sky130_fd_sc_hd__or3_1 _15736_ (.A(_08057_),
+    .B(_08065_),
+    .C(_08064_),
+    .X(_05182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16698_ (.A(_05419_),
-    .Y(_05420_),
+ sky130_fd_sc_hd__inv_2 _15737_ (.A(_05182_),
+    .Y(_05183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16699_ (.A(_00759_),
-    .Y(_05421_),
+ sky130_fd_sc_hd__clkinv_2 _15738_ (.A(_00759_),
+    .Y(_05184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _16700_ (.A(_05410_),
+ sky130_fd_sc_hd__or2b_1 _15739_ (.A(_05171_),
     .B_N(\u_uart_core.app_rxfifo_empty ),
-    .X(_05422_),
+    .X(_05185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16701_ (.A1(_05421_),
-    .A2(_05809_),
-    .B1(_03220_),
-    .B2(_05416_),
-    .C1(_05422_),
-    .X(_05423_),
+ sky130_fd_sc_hd__o221a_1 _15740_ (.A1(_05184_),
+    .A2(_05541_),
+    .B1(_02947_),
+    .B2(_05177_),
+    .C1(_05185_),
+    .X(_05186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _16702_ (.A1(_05766_),
-    .A2(_05767_),
-    .A3(_05773_),
+ sky130_fd_sc_hd__o32a_1 _15741_ (.A1(net11),
+    .A2(_05500_),
+    .A3(_05506_),
     .B1(_00374_),
-    .B2(_06885_),
-    .X(_05424_),
+    .B2(_05178_),
+    .X(_05187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211a_1 _16703_ (.A1(_03176_),
-    .A2(_05408_),
-    .B1(_05423_),
-    .C1(_05424_),
-    .X(_05425_),
+ sky130_fd_sc_hd__o211a_1 _15742_ (.A1(_02871_),
+    .A2(_05169_),
+    .B1(_05186_),
+    .C1(_05187_),
+    .X(_05188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31ai_1 _16704_ (.A1(_03136_),
-    .A2(_05412_),
-    .A3(_05420_),
-    .B1(_05425_),
+ sky130_fd_sc_hd__o31ai_1 _15743_ (.A1(_08066_),
+    .A2(_05173_),
+    .A3(_05183_),
+    .B1(_05188_),
     .Y(_00760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _16705_ (.A(_03138_),
-    .B(_05419_),
-    .Y(_05426_),
+ sky130_fd_sc_hd__nor2_1 _15744_ (.A(_08068_),
+    .B(_05182_),
+    .Y(_05189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _16706_ (.A1(_03137_),
-    .A2(_05420_),
-    .B1(_05413_),
-    .Y(_05427_),
+ sky130_fd_sc_hd__o21ai_1 _15745_ (.A1(_08067_),
+    .A2(_05183_),
+    .B1(_05174_),
+    .Y(_05190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16707_ (.A(_00765_),
-    .Y(_05428_),
+ sky130_fd_sc_hd__clkinv_2 _15746_ (.A(_00765_),
+    .Y(_05191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _16708_ (.A1(_05766_),
-    .A2(_05767_),
-    .A3(_05775_),
-    .B1(_05428_),
-    .B2(_06915_),
-    .X(_05429_),
+ sky130_fd_sc_hd__o32a_1 _15747_ (.A1(net11),
+    .A2(_05500_),
+    .A3(_05508_),
+    .B1(_05191_),
+    .B2(_06542_),
+    .X(_05192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16709_ (.A1(_03222_),
-    .A2(_05416_),
-    .B1(_03206_),
-    .B2(_06918_),
-    .C1(_05429_),
-    .X(_05430_),
+ sky130_fd_sc_hd__o221a_1 _15748_ (.A1(_02949_),
+    .A2(_05177_),
+    .B1(_02933_),
+    .B2(_05178_),
+    .C1(_05192_),
+    .X(_05193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_1 _16710_ (.A1(_03172_),
-    .A2(_05409_),
-    .B1(_05426_),
-    .B2(_05427_),
-    .C1(_05430_),
+ sky130_fd_sc_hd__o221ai_1 _15749_ (.A1(_02867_),
+    .A2(_05170_),
+    .B1(_05189_),
+    .B2(_05190_),
+    .C1(_05193_),
     .Y(_00766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_2 _16711_ (.A(_06510_),
-    .B(_06507_),
-    .C_N(\u_usb_host.u_core.u_sie.send_ack_q ),
-    .X(_00181_),
+ sky130_fd_sc_hd__nand2_1 _15750_ (.A(_08063_),
+    .B(_05189_),
+    .Y(_05194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _16712_ (.A(_03133_),
-    .B(_05426_),
-    .Y(_05431_),
+ sky130_fd_sc_hd__inv_2 _15751_ (.A(_05194_),
+    .Y(_05195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16713_ (.A(_05431_),
-    .Y(_05432_),
+ sky130_fd_sc_hd__o21ai_1 _15752_ (.A1(_08063_),
+    .A2(_05189_),
+    .B1(_05174_),
+    .Y(_05196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _16714_ (.A1(_03133_),
-    .A2(_05426_),
-    .B1(_05413_),
-    .Y(_05433_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _16715_ (.A(_06915_),
+ sky130_fd_sc_hd__or2b_1 _15753_ (.A(_06542_),
     .B_N(_00771_),
-    .X(_05434_),
+    .X(_05197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_1 _16716_ (.A1(_03225_),
-    .A2(_05416_),
-    .B1(_03209_),
-    .B2(_06918_),
-    .C1(_05434_),
-    .X(_05435_),
+ sky130_fd_sc_hd__o221a_1 _15754_ (.A1(_02952_),
+    .A2(_05177_),
+    .B1(_02936_),
+    .B2(_05178_),
+    .C1(_05197_),
+    .X(_05198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o221ai_1 _16717_ (.A1(_03170_),
-    .A2(_05409_),
-    .B1(_05432_),
-    .B2(_05433_),
-    .C1(_05435_),
+ sky130_fd_sc_hd__o221ai_1 _15755_ (.A1(_02865_),
+    .A2(_05170_),
+    .B1(_05195_),
+    .B2(_05196_),
+    .C1(_05198_),
     .Y(_00772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16718_ (.A(_00777_),
-    .Y(_05436_),
+ sky130_fd_sc_hd__inv_2 _15756_ (.A(_00777_),
+    .Y(_05199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16719_ (.A1(_05436_),
-    .A2(_06916_),
-    .B1(_03211_),
-    .B2(_06918_),
-    .X(_05437_),
+ sky130_fd_sc_hd__o22a_1 _15757_ (.A1(_05199_),
+    .A2(_06543_),
+    .B1(_02938_),
+    .B2(_06545_),
+    .X(_05200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a221o_1 _16720_ (.A1(_03142_),
-    .A2(_05431_),
-    .B1(_03141_),
-    .B2(_05432_),
-    .C1(_05412_),
-    .X(_05438_),
+ sky130_fd_sc_hd__a221o_1 _15758_ (.A1(_08072_),
+    .A2(_05194_),
+    .B1(_08071_),
+    .B2(_05195_),
+    .C1(_05173_),
+    .X(_05201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o211ai_1 _16721_ (.A1(_03180_),
-    .A2(_05409_),
-    .B1(_05437_),
-    .C1(_05438_),
+ sky130_fd_sc_hd__o211ai_1 _15759_ (.A1(_02875_),
+    .A2(_05170_),
+    .B1(_05200_),
+    .C1(_05201_),
     .Y(_00778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _16722_ (.A(_06456_),
-    .B(_06491_),
-    .C(_05955_),
-    .X(_00179_),
+ sky130_fd_sc_hd__inv_2 _15760_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
+    .Y(_00811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _16723_ (.A(_00797_),
+ sky130_fd_sc_hd__or2_4 _15761_ (.A(_00797_),
     .B(_00315_),
+    .X(_05202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15762_ (.A(_05202_),
     .X(net48),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _16724_ (.A(_03183_),
-    .B(_03187_),
+ sky130_fd_sc_hd__nor2_1 _15763_ (.A(_02881_),
+    .B(_02888_),
     .Y(\u_uart_core.rxd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _16725_ (.A(_03183_),
-    .B(_03186_),
+ sky130_fd_sc_hd__nor2_1 _15764_ (.A(_02881_),
+    .B(_02887_),
     .Y(\u_i2cm.scl_pad_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _16726_ (.A(net7),
+ sky130_fd_sc_hd__and2_1 _15765_ (.A(net7),
     .B(_00314_),
+    .X(_05203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15766_ (.A(_05203_),
     .X(\u_i2cm.sda_pad_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _16727_ (.A1(\u_uart_core.line_clk_16x_in ),
-    .A2(_05786_),
+ sky130_fd_sc_hd__o21ai_1 _15767_ (.A1(\u_uart_core.line_clk_16x_in ),
+    .A2(_05519_),
     .B1(_00228_),
     .Y(_00103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _16728_ (.A(_05806_),
+ sky130_fd_sc_hd__nor2_1 _15768_ (.A(_05538_),
     .B(\u_uart_core.reg_ack ),
     .Y(_00091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16729_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
-    .Y(_05439_),
+ sky130_fd_sc_hd__inv_2 _15769_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
+    .Y(_05204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16730_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
-    .Y(_05440_),
+ sky130_fd_sc_hd__inv_2 _15770_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
+    .Y(_05205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _16731_ (.A(\u_i2cm.sda_padoen_o ),
+ sky130_fd_sc_hd__and3_1 _15771_ (.A(\u_i2cm.sda_padoen_o ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sda_chk ),
-    .C(_05440_),
-    .X(_05441_),
+    .C(_05205_),
+    .X(_05206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _16732_ (.A1(_05439_),
+ sky130_fd_sc_hd__a31o_1 _15772_ (.A1(_05204_),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sto_condition ),
-    .A3(_05706_),
-    .B1(_05441_),
+    .A3(_05442_),
+    .B1(_05206_),
     .X(_00053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ba_1 _16733_ (.A1(\u_i2cm.i2c_busy ),
+ sky130_fd_sc_hd__o21ba_1 _15773_ (.A1(\u_i2cm.i2c_busy ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sta_condition ),
     .B1_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sto_condition ),
     .X(_00054_),
@@ -244475,70 +240714,82 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3b_1 _16734_ (.A_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ),
-    .B(_02903_),
+ sky130_fd_sc_hd__and3b_1 _15774_ (.A_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
+    .X(_05207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15775_ (.A(_05207_),
     .X(_00090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _16735_ (.A(_05440_),
-    .B(_02903_),
+ sky130_fd_sc_hd__and3_1 _15776_ (.A(_05205_),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ),
+    .X(_05208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15777_ (.A(_05208_),
     .X(_00089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _16736_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
+ sky130_fd_sc_hd__or2_1 _15778_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
-    .X(_05442_),
+    .X(_05209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16737_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
+ sky130_fd_sc_hd__a22o_1 _15779_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
-    .B2(_05442_),
+    .B2(_05209_),
     .X(_00087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _16738_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
+ sky130_fd_sc_hd__or2_1 _15780_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
-    .X(_05443_),
+    .X(_05210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16739_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
+ sky130_fd_sc_hd__a22o_1 _15781_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
-    .B2(_05443_),
+    .B2(_05210_),
     .X(_00086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _16740_ (.A1(_05749_),
+ sky130_fd_sc_hd__o21a_1 _15782_ (.A1(_05485_),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dscl_oen ),
-    .B1(_05734_),
-    .X(_05444_),
+    .B1(_05470_),
+    .X(_05211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _16741_ (.A(_02903_),
-    .B(_05444_),
+ sky130_fd_sc_hd__nor2_1 _15783_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
+    .B(_05211_),
     .Y(_00088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ba_1 _16742_ (.A1(\u_i2cm.irq_flag ),
+ sky130_fd_sc_hd__o21ba_1 _15784_ (.A1(\u_i2cm.irq_flag ),
     .A2(_00227_),
     .B1_N(\u_i2cm.cr[0] ),
     .X(_00039_),
@@ -244546,284 +240797,318 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16743_ (.A(\u_i2cm.al ),
-    .Y(_05445_),
+ sky130_fd_sc_hd__inv_2 _15785_ (.A(\u_i2cm.al ),
+    .Y(_05212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _16744_ (.A1(_07037_),
-    .A2(_05445_),
-    .B1(_03241_),
+ sky130_fd_sc_hd__o21ai_1 _15786_ (.A1(\u_i2cm.cr[7] ),
+    .A2(_05212_),
+    .B1(_05412_),
     .Y(_00038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _16745_ (.A(_05806_),
+ sky130_fd_sc_hd__nor2_1 _15787_ (.A(_05538_),
     .B(\u_i2cm.wb_ack_o ),
     .Y(_00040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16746_ (.A1(_05599_),
-    .A2(_05587_),
+ sky130_fd_sc_hd__o22a_1 _15788_ (.A1(_05363_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
     .B1(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
-    .B2(_05585_),
-    .X(_05446_),
+    .B2(_05351_),
+    .X(_05213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16747_ (.A(_05446_),
-    .Y(_05447_),
+ sky130_fd_sc_hd__inv_2 _15789_ (.A(_05213_),
+    .Y(_05214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16748_ (.A1(_05599_),
-    .A2(_05587_),
-    .B1(_05591_),
-    .B2(_05447_),
+ sky130_fd_sc_hd__o22a_1 _15790_ (.A1(_05363_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .B1(_05356_),
+    .B2(_05214_),
     .X(_00798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _16749_ (.A1(_05592_),
-    .A2(_05446_),
-    .B1(_05591_),
-    .B2(_05447_),
+ sky130_fd_sc_hd__a22o_1 _15791_ (.A1(_05357_),
+    .A2(_05213_),
+    .B1(_05356_),
+    .B2(_05214_),
     .X(_00799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 _16750_ (.A(_00799_),
+ sky130_fd_sc_hd__clkbuf_1 _15792_ (.A(_00799_),
+    .X(_05215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15793_ (.A(_05215_),
     .X(_00800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16751_ (.A(\u_usb_host.u_phy.out_dp_q ),
+ sky130_fd_sc_hd__clkinv_2 _15794_ (.A(\u_usb_host.u_phy.out_dp_q ),
     .Y(_00802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3b_1 _16752_ (.A_N(\u_usb_host.u_phy.send_eop_q ),
+ sky130_fd_sc_hd__and3b_1 _15795_ (.A_N(\u_usb_host.u_phy.send_eop_q ),
     .B(\u_usb_host.u_phy.state_q[1] ),
-    .C(_03069_),
-    .X(_05448_),
+    .C(_07999_),
+    .X(_05216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _16753_ (.A1(\u_usb_host.u_phy.state_q[13] ),
-    .A2(_05448_),
-    .B1(_03073_),
+ sky130_fd_sc_hd__o21a_1 _15796_ (.A1(\u_usb_host.u_phy.state_q[13] ),
+    .A2(_05216_),
+    .B1(_08001_),
     .X(_00169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _16754_ (.A1(_03087_),
-    .A2(_04264_),
-    .A3(\u_usb_host.u_phy.ones_count_q[2] ),
-    .B1(_03083_),
-    .X(_05449_),
+ sky130_fd_sc_hd__o311a_1 _15797_ (.A1(_06053_),
+    .A2(_06056_),
+    .A3(_06049_),
+    .B1(_08012_),
+    .C1(_08025_),
+    .X(_05217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a31o_1 _16755_ (.A1(_03099_),
-    .A2(_03078_),
-    .A3(_03080_),
-    .B1(_05449_),
-    .X(_00167_),
+ sky130_fd_sc_hd__inv_2 _15798_ (.A(_05217_),
+    .Y(_00167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _16756_ (.A(_05995_),
-    .B(_05972_),
-    .C(_06257_),
-    .D(_05982_),
-    .X(_05450_),
+ sky130_fd_sc_hd__or4_4 _15799_ (.A(_05697_),
+    .B(_05675_),
+    .C(_05950_),
+    .D(_05685_),
+    .X(_05218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _16757_ (.A(_06203_),
-    .X(_05451_),
+ sky130_fd_sc_hd__and3b_1 _15800_ (.A_N(_05218_),
+    .B(_05954_),
+    .C(_00690_),
+    .X(_05219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3b_1 _16758_ (.A_N(_05450_),
-    .B(_05451_),
-    .C(_06211_),
+ sky130_fd_sc_hd__clkbuf_1 _15801_ (.A(_05219_),
     .X(_00133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3b_1 _16759_ (.A_N(_05450_),
-    .B(_05451_),
-    .C(_06210_),
+ sky130_fd_sc_hd__and3b_1 _15802_ (.A_N(_05218_),
+    .B(_05954_),
+    .C(_00691_),
+    .X(_05220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15803_ (.A(_05220_),
     .X(_00131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3b_1 _16760_ (.A_N(_05450_),
-    .B(_05451_),
-    .C(_06209_),
+ sky130_fd_sc_hd__and3b_1 _15804_ (.A_N(_05218_),
+    .B(_05899_),
+    .C(_00692_),
+    .X(_05221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15805_ (.A(_05221_),
     .X(_00132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_2 _16761_ (.A(_00698_),
-    .B(_05451_),
-    .C(_00130_),
+ sky130_fd_sc_hd__and3_2 _15806_ (.A(_00698_),
+    .B(_05954_),
+    .C(_05897_),
+    .X(_05222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15807_ (.A(_05222_),
     .X(_00129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_1 _16762_ (.A(\u_usb_host.u_core.u_sie.data_valid_q[1] ),
-    .B(_05913_),
+ sky130_fd_sc_hd__and2_1 _15808_ (.A(\u_usb_host.u_core.u_sie.data_valid_q[1] ),
+    .B(_05655_),
+    .X(_05223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _15809_ (.A(_05223_),
     .X(_00037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16763_ (.A(\u_uart_core.u_txfsm.divcnt[1] ),
-    .Y(_05452_),
+ sky130_fd_sc_hd__inv_2 _15810_ (.A(\u_uart_core.u_txfsm.divcnt[1] ),
+    .Y(_05224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16764_ (.A1(\u_uart_core.u_txfsm.divcnt[0] ),
-    .A2(\u_uart_core.u_txfsm.divcnt[1] ),
-    .B1(_00811_),
-    .B2(_05452_),
+ sky130_fd_sc_hd__o22a_1 _15811_ (.A1(\u_uart_core.u_txfsm.divcnt[1] ),
+    .A2(\u_uart_core.u_txfsm.divcnt[0] ),
+    .B1(_05224_),
+    .B2(_00811_),
     .X(_00812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_1 _16765_ (.A1(\u_uart_core.u_txfsm.divcnt[0] ),
-    .A2(\u_uart_core.u_txfsm.divcnt[1] ),
+ sky130_fd_sc_hd__a21oi_1 _15812_ (.A1(\u_uart_core.u_txfsm.divcnt[1] ),
+    .A2(\u_uart_core.u_txfsm.divcnt[0] ),
     .B1(\u_uart_core.u_txfsm.divcnt[2] ),
-    .Y(_05453_),
+    .Y(_05225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _16766_ (.A(_00811_),
-    .B(_05452_),
+ sky130_fd_sc_hd__or3b_1 _15813_ (.A(_05224_),
+    .B(_00811_),
     .C_N(\u_uart_core.u_txfsm.divcnt[2] ),
-    .X(_05454_),
+    .X(_05226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2b_1 _16767_ (.A(_05453_),
-    .B_N(_05454_),
-    .Y(_00813_),
+ sky130_fd_sc_hd__and2b_1 _15814_ (.A_N(_05225_),
+    .B(_05226_),
+    .X(_05227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16768_ (.A(\u_uart_core.u_txfsm.divcnt[3] ),
-    .Y(_05455_),
+ sky130_fd_sc_hd__clkbuf_1 _15815_ (.A(_05227_),
+    .X(_00813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o2bb2a_1 _16769_ (.A1_N(_05455_),
-    .A2_N(_05454_),
-    .B1(_05455_),
-    .B2(_05454_),
+ sky130_fd_sc_hd__inv_2 _15816_ (.A(\u_uart_core.u_txfsm.divcnt[3] ),
+    .Y(_05228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o2bb2a_1 _15817_ (.A1_N(_05228_),
+    .A2_N(_05226_),
+    .B1(_05228_),
+    .B2(_05226_),
     .X(_00814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _16770_ (.A(_05832_),
+ sky130_fd_sc_hd__or2_1 _15818_ (.A(_05562_),
     .B(_00807_),
-    .X(_05456_),
+    .X(_05229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _16771_ (.A1(\u_uart_core.u_rxfsm.offset[1] ),
+ sky130_fd_sc_hd__o21a_1 _15819_ (.A1(\u_uart_core.u_rxfsm.offset[1] ),
     .A2(\u_uart_core.u_rxfsm.offset[0] ),
-    .B1(_05456_),
+    .B1(_05229_),
     .X(_00808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _16772_ (.A(_05456_),
-    .Y(_05457_),
+ sky130_fd_sc_hd__inv_2 _15820_ (.A(_05229_),
+    .Y(_05230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _16773_ (.A(_05824_),
-    .B(_05456_),
-    .X(_05458_),
+ sky130_fd_sc_hd__or2_1 _15821_ (.A(_05554_),
+    .B(_05229_),
+    .X(_05231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _16774_ (.A1(_05822_),
-    .A2(_05457_),
-    .B1(_05458_),
+ sky130_fd_sc_hd__o21a_1 _15822_ (.A1(\u_uart_core.u_rxfsm.offset[2] ),
+    .A2(_05230_),
+    .B1(_05231_),
     .X(_00809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_1 _16775_ (.A1(_05822_),
-    .A2(_05457_),
-    .A3(_05828_),
+ sky130_fd_sc_hd__a32o_1 _15823_ (.A1(\u_uart_core.u_rxfsm.offset[2] ),
+    .A2(_05230_),
+    .A3(_05558_),
     .B1(\u_uart_core.u_rxfsm.offset[3] ),
-    .B2(_05458_),
+    .B2(_05231_),
     .X(_00810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _16776_ (.A1(\u_i2cm.rxr[7] ),
-    .A2(_05460_),
+ sky130_fd_sc_hd__o22a_1 _15824_ (.A1(\u_i2cm.rxr[7] ),
+    .A2(_05233_),
     .B1(_00052_),
-    .B2(_05462_),
+    .B2(_05235_),
     .X(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _16777_ (.HI(_08556_),
+ sky130_fd_sc_hd__conb_1 _15825_ (.HI(_08080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _16778_ (.HI(_08557_),
+ sky130_fd_sc_hd__conb_1 _15826_ (.HI(_08081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _16779_ (.LO(_00172_),
+ sky130_fd_sc_hd__conb_1 _15827_ (.LO(_00172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16780_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
+ sky130_fd_sc_hd__mux2_1 _15828_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00318_),
@@ -244831,7 +241116,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16781_ (.A0(_00318_),
+ sky130_fd_sc_hd__mux2_1 _15829_ (.A0(_00318_),
     .A1(\u_i2cm.wb_dat_o[0] ),
     .S(_00314_),
     .X(_00319_),
@@ -244839,7 +241124,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16782_ (.A0(_00319_),
+ sky130_fd_sc_hd__mux2_4 _15830_ (.A0(_00319_),
     .A1(\u_uart_core.reg_rdata[0] ),
     .S(_00315_),
     .X(net53),
@@ -244847,7 +241132,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16783_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
+ sky130_fd_sc_hd__mux2_1 _15831_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00320_),
@@ -244855,7 +241140,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16784_ (.A0(_00320_),
+ sky130_fd_sc_hd__mux2_1 _15832_ (.A0(_00320_),
     .A1(\u_i2cm.wb_dat_o[1] ),
     .S(_00314_),
     .X(_00321_),
@@ -244863,7 +241148,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16785_ (.A0(_00321_),
+ sky130_fd_sc_hd__mux2_4 _15833_ (.A0(_00321_),
     .A1(\u_uart_core.reg_rdata[1] ),
     .S(_00315_),
     .X(net64),
@@ -244871,7 +241156,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16786_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
+ sky130_fd_sc_hd__mux2_1 _15834_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00322_),
@@ -244879,7 +241164,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16787_ (.A0(_00322_),
+ sky130_fd_sc_hd__mux2_1 _15835_ (.A0(_00322_),
     .A1(\u_i2cm.wb_dat_o[2] ),
     .S(_00314_),
     .X(_00323_),
@@ -244887,7 +241172,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16788_ (.A0(_00323_),
+ sky130_fd_sc_hd__mux2_4 _15836_ (.A0(_00323_),
     .A1(\u_uart_core.reg_rdata[2] ),
     .S(_00315_),
     .X(net75),
@@ -244895,7 +241180,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16789_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
+ sky130_fd_sc_hd__mux2_1 _15837_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00324_),
@@ -244903,7 +241188,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16790_ (.A0(_00324_),
+ sky130_fd_sc_hd__mux2_1 _15838_ (.A0(_00324_),
     .A1(\u_i2cm.wb_dat_o[3] ),
     .S(_00314_),
     .X(_00325_),
@@ -244911,7 +241196,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16791_ (.A0(_00325_),
+ sky130_fd_sc_hd__mux2_4 _15839_ (.A0(_00325_),
     .A1(\u_uart_core.reg_rdata[3] ),
     .S(_00315_),
     .X(net78),
@@ -244919,7 +241204,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16792_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
+ sky130_fd_sc_hd__mux2_1 _15840_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00326_),
@@ -244927,7 +241212,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16793_ (.A0(_00326_),
+ sky130_fd_sc_hd__mux2_1 _15841_ (.A0(_00326_),
     .A1(\u_i2cm.wb_dat_o[4] ),
     .S(_00314_),
     .X(_00327_),
@@ -244935,7 +241220,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16794_ (.A0(_00327_),
+ sky130_fd_sc_hd__mux2_4 _15842_ (.A0(_00327_),
     .A1(\u_uart_core.reg_rdata[4] ),
     .S(_00315_),
     .X(net79),
@@ -244943,7 +241228,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16795_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
+ sky130_fd_sc_hd__mux2_1 _15843_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00328_),
@@ -244951,7 +241236,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16796_ (.A0(_00328_),
+ sky130_fd_sc_hd__mux2_1 _15844_ (.A0(_00328_),
     .A1(\u_i2cm.wb_dat_o[5] ),
     .S(_00314_),
     .X(_00329_),
@@ -244959,7 +241244,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16797_ (.A0(_00329_),
+ sky130_fd_sc_hd__mux2_4 _15845_ (.A0(_00329_),
     .A1(\u_uart_core.reg_rdata[5] ),
     .S(_00315_),
     .X(net80),
@@ -244967,7 +241252,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16798_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
+ sky130_fd_sc_hd__mux2_1 _15846_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00330_),
@@ -244975,7 +241260,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16799_ (.A0(_00330_),
+ sky130_fd_sc_hd__mux2_1 _15847_ (.A0(_00330_),
     .A1(\u_i2cm.wb_dat_o[6] ),
     .S(_00314_),
     .X(_00331_),
@@ -244983,7 +241268,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16800_ (.A0(_00331_),
+ sky130_fd_sc_hd__mux2_4 _15848_ (.A0(_00331_),
     .A1(\u_uart_core.reg_rdata[6] ),
     .S(_00315_),
     .X(net81),
@@ -244991,7 +241276,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16801_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
+ sky130_fd_sc_hd__mux2_1 _15849_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00332_),
@@ -244999,7 +241284,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16802_ (.A0(_00332_),
+ sky130_fd_sc_hd__mux2_1 _15850_ (.A0(_00332_),
     .A1(\u_i2cm.wb_dat_o[7] ),
     .S(_00314_),
     .X(_00333_),
@@ -245007,7 +241292,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16803_ (.A0(_00333_),
+ sky130_fd_sc_hd__mux2_4 _15851_ (.A0(_00333_),
     .A1(\u_uart_core.reg_rdata[7] ),
     .S(_00315_),
     .X(net82),
@@ -245015,7 +241300,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16804_ (.A0(net196),
+ sky130_fd_sc_hd__mux2_4 _15852_ (.A0(net180),
     .A1(\u_i2cm.wb_ack_o ),
     .S(_00314_),
     .X(_00358_),
@@ -245023,7 +241308,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16805_ (.A0(_00358_),
+ sky130_fd_sc_hd__mux2_4 _15853_ (.A0(_00358_),
     .A1(\u_uart_core.reg_ack ),
     .S(_00315_),
     .X(net52),
@@ -245031,1887 +241316,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16806_ (.A0(_00294_),
-    .A1(_00295_),
-    .S(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
-    .X(_00296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16807_ (.A0(_00181_),
-    .A1(_00180_),
-    .S(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
-    .X(_00182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16808_ (.A0(_00449_),
-    .A1(\u_i2cm.prer[8] ),
-    .S(_00229_),
-    .X(_00450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16809_ (.A0(_00462_),
-    .A1(\u_i2cm.prer[14] ),
-    .S(_00229_),
-    .X(_00463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16810_ (.A0(\u_usb_host.out_tx_oen ),
-    .A1(\u_i2cm.scl_padoen_o ),
-    .S(_00314_),
-    .X(_00797_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16811_ (.A0(_00794_),
-    .A1(_00795_),
-    .S(\u_uart_core.u_txfsm.cnt[2] ),
-    .X(_00796_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16812_ (.A0(_00466_),
-    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
-    .S(_00215_),
-    .X(_00467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16813_ (.A0(_00445_),
-    .A1(\u_i2cm.prer[6] ),
-    .S(_00229_),
-    .X(_00446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16814_ (.A0(_00447_),
-    .A1(\u_i2cm.prer[7] ),
-    .S(_00229_),
-    .X(_00448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16815_ (.A0(_00451_),
-    .A1(\u_i2cm.prer[9] ),
-    .S(_00229_),
-    .X(_00452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16816_ (.A0(_00464_),
-    .A1(\u_i2cm.prer[15] ),
-    .S(_00229_),
-    .X(_00465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16817_ (.A0(_00233_),
-    .A1(_00234_),
-    .S(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .X(_00235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16818_ (.A0(_00800_),
-    .A1(_00799_),
-    .S(_00798_),
-    .X(_00801_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16819_ (.A0(_00476_),
-    .A1(_00471_),
-    .S(_00177_),
-    .X(_00477_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16820_ (.A0(_00477_),
-    .A1(_00470_),
-    .S(_00178_),
-    .X(_00478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16821_ (.A0(_00478_),
-    .A1(_00469_),
-    .S(_00175_),
-    .X(_00479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16822_ (.A0(_00479_),
-    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
-    .S(_02837_),
-    .X(_00480_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16823_ (.A0(_00480_),
-    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .S(_00174_),
-    .X(_00481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16824_ (.A0(_00481_),
-    .A1(_00468_),
-    .S(_00242_),
-    .X(_00482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16825_ (.A0(_00482_),
-    .A1(_00468_),
-    .S(_00247_),
-    .X(_00483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16826_ (.A0(_00733_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
-    .S(_00722_),
-    .X(_00734_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16827_ (.A0(_00460_),
-    .A1(\u_i2cm.prer[13] ),
-    .S(_00229_),
-    .X(_00461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16828_ (.A0(_00458_),
-    .A1(\u_i2cm.prer[12] ),
-    .S(_00229_),
-    .X(_00459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_8 _16829_ (.A0(_00317_),
-    .A1(\u_uart_core.txd ),
-    .S(_00315_),
-    .X(net51),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16830_ (.A0(_00729_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
-    .S(_00722_),
-    .X(_00730_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16831_ (.A0(_00731_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
-    .S(_00722_),
-    .X(_00732_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16832_ (.A0(_00505_),
-    .A1(_00501_),
-    .S(_00177_),
-    .X(_00506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16833_ (.A0(_00506_),
-    .A1(_00500_),
-    .S(_00178_),
-    .X(_00507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16834_ (.A0(_00507_),
-    .A1(_00499_),
-    .S(_00175_),
-    .X(_00508_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16835_ (.A0(_00508_),
-    .A1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
-    .S(_02837_),
-    .X(_00509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16836_ (.A0(_00509_),
-    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
-    .S(_00174_),
-    .X(_00510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16837_ (.A0(_00510_),
-    .A1(_00498_),
-    .S(_00242_),
-    .X(_00511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16838_ (.A0(_00511_),
-    .A1(_00498_),
-    .S(_00247_),
-    .X(_00512_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16839_ (.A0(_00453_),
-    .A1(\u_i2cm.prer[10] ),
-    .S(_00229_),
-    .X(_00454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16840_ (.A0(_00803_),
-    .A1(_00802_),
-    .S(_00216_),
-    .X(_00804_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16841_ (.A0(_00804_),
-    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
-    .S(_00215_),
-    .X(_00805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16842_ (.A0(_00474_),
-    .A1(_00472_),
-    .S(_00473_),
-    .X(_00475_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16843_ (.A0(\u_i2cm.rxr[7] ),
-    .A1(\u_i2cm.ack ),
-    .S(\u_i2cm.u_byte_ctrl.core_ack ),
-    .X(_00418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16844_ (.A0(\u_usb_host.u_phy.state_q[5] ),
-    .A1(\u_usb_host.u_phy.state_q[8] ),
-    .S(_00214_),
-    .X(_00243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16845_ (.A0(_00723_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
-    .S(_00722_),
-    .X(_00724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16846_ (.A0(_00180_),
-    .A1(_00184_),
-    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16847_ (.A0(_00488_),
-    .A1(_00487_),
-    .S(_00473_),
-    .X(_00489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16848_ (.A0(_00727_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
-    .S(_00722_),
-    .X(_00728_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16849_ (.A0(_00181_),
-    .A1(_00217_),
-    .S(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
-    .X(_00218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16850_ (.A0(_00217_),
-    .A1(_00184_),
-    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16851_ (.A0(_00219_),
-    .A1(_00186_),
-    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16852_ (.A0(_00217_),
-    .A1(\u_usb_host.u_core.u_sie.send_sof_q ),
-    .S(_00183_),
-    .X(_00222_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16853_ (.A0(_00217_),
-    .A1(_00208_),
-    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16854_ (.A0(_00535_),
-    .A1(_00531_),
-    .S(_00177_),
-    .X(_00536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16855_ (.A0(_00536_),
-    .A1(_00530_),
-    .S(_00178_),
-    .X(_00537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16856_ (.A0(_00537_),
-    .A1(_00529_),
-    .S(_00175_),
-    .X(_00538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16857_ (.A0(_00538_),
-    .A1(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
-    .S(_02837_),
-    .X(_00539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16858_ (.A0(_00539_),
-    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
-    .S(_00174_),
-    .X(_00540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16859_ (.A0(_00540_),
-    .A1(_00528_),
-    .S(_00242_),
-    .X(_00541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16860_ (.A0(_00541_),
-    .A1(_00528_),
-    .S(_00247_),
-    .X(_00542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16861_ (.A0(_00204_),
-    .A1(_00186_),
-    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16862_ (.A0(_00205_),
-    .A1(_00208_),
-    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16863_ (.A0(_00725_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
-    .S(_00722_),
-    .X(_00726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16864_ (.A0(_00519_),
-    .A1(\u_usb_host.u_core.u_sie.send_data1_q ),
-    .S(_00176_),
-    .X(_00520_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16865_ (.A0(_00520_),
-    .A1(\u_usb_host.u_core.u_sie.token_q[4] ),
-    .S(_00177_),
-    .X(_00521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16866_ (.A0(_00521_),
-    .A1(\u_usb_host.u_core.u_sie.token_q[12] ),
-    .S(_00178_),
-    .X(_00522_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16867_ (.A0(_00522_),
-    .A1(_00515_),
-    .S(_00175_),
-    .X(_00523_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16868_ (.A0(_00523_),
-    .A1(_00514_),
-    .S(_02837_),
-    .X(_00524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16869_ (.A0(_00524_),
-    .A1(_00513_),
-    .S(_00174_),
-    .X(_00525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16870_ (.A0(_00525_),
-    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
-    .S(_00242_),
-    .X(_00526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16871_ (.A0(_00526_),
-    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
-    .S(_00247_),
-    .X(_00527_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16872_ (.A0(_00517_),
-    .A1(_00516_),
-    .S(_00473_),
-    .X(_00518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16873_ (.A0(_00285_),
-    .A1(_00289_),
-    .S(_00288_),
-    .X(_00290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16874_ (.A0(_00291_),
-    .A1(_00292_),
-    .S(_00288_),
-    .X(_00293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16875_ (.A0(_00286_),
-    .A1(_00287_),
-    .S(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
-    .X(_00288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16876_ (.A0(_00230_),
-    .A1(_00231_),
-    .S(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .X(_00232_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16877_ (.A0(_00547_),
-    .A1(_00546_),
-    .S(_00473_),
-    .X(_00548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _16878_ (.A0(\u_usb_host.u_async_wb.m_cmd_wr_en ),
-    .A1(_00283_),
-    .S(_00211_),
-    .X(_00284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16879_ (.A0(_00249_),
-    .A1(_00250_),
-    .S(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
-    .X(_00251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16880_ (.A0(_00252_),
-    .A1(_00248_),
-    .S(_00251_),
-    .X(_00253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16881_ (.A0(_00533_),
-    .A1(_00532_),
-    .S(_00473_),
-    .X(_00534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16882_ (.A0(_00443_),
-    .A1(\u_i2cm.prer[5] ),
-    .S(_00229_),
-    .X(_00444_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16883_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
-    .A1(_00437_),
-    .S(_00229_),
-    .X(_00438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16884_ (.A0(_00439_),
-    .A1(\u_i2cm.prer[3] ),
-    .S(_00229_),
-    .X(_00440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16885_ (.A0(_00441_),
-    .A1(\u_i2cm.prer[4] ),
-    .S(_00229_),
-    .X(_00442_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16886_ (.A0(_00564_),
-    .A1(_00560_),
-    .S(_00177_),
-    .X(_00565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16887_ (.A0(_00565_),
-    .A1(_00559_),
-    .S(_00178_),
-    .X(_00566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16888_ (.A0(_00566_),
-    .A1(_00558_),
-    .S(_00175_),
-    .X(_00567_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16889_ (.A0(_00567_),
-    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
-    .S(_02837_),
-    .X(_00568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16890_ (.A0(_00568_),
-    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .S(_00174_),
-    .X(_00569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16891_ (.A0(_00569_),
-    .A1(_00557_),
-    .S(_00242_),
-    .X(_00570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16892_ (.A0(_00570_),
-    .A1(_00557_),
-    .S(_00247_),
-    .X(_00571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16893_ (.A0(_00562_),
-    .A1(_00561_),
-    .S(_00473_),
-    .X(_00563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16894_ (.A0(_00590_),
-    .A1(_00591_),
-    .S(_00246_),
-    .X(_00592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16895_ (.A0(_00576_),
-    .A1(_00575_),
-    .S(_00473_),
-    .X(_00577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16896_ (.A0(_00419_),
-    .A1(\u_i2cm.rxr[7] ),
-    .S(_00417_),
-    .X(_00420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16897_ (.A0(_00518_),
-    .A1(_00620_),
-    .S(_00173_),
-    .X(_00621_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16898_ (.A0(_00475_),
-    .A1(_00614_),
-    .S(_00173_),
-    .X(_00615_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16899_ (.A0(_00563_),
-    .A1(_00626_),
-    .S(_00173_),
-    .X(_00627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16900_ (.A0(_00577_),
-    .A1(_00628_),
-    .S(_00173_),
-    .X(_00629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16901_ (.A0(_00534_),
-    .A1(_00622_),
-    .S(_00173_),
-    .X(_00623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _16902_ (.A0(_00548_),
-    .A1(_00624_),
-    .S(_00173_),
-    .X(_00625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16903_ (.A0(_00593_),
-    .A1(_00594_),
-    .S(_00246_),
-    .X(_00595_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16904_ (.A0(_00578_),
-    .A1(\u_usb_host.u_core.u_sie.send_data1_q ),
-    .S(_00176_),
-    .X(_00579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16905_ (.A0(_00579_),
-    .A1(_00574_),
-    .S(_00177_),
-    .X(_00580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16906_ (.A0(_00580_),
-    .A1(_00573_),
-    .S(_00178_),
-    .X(_00581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16907_ (.A0(_00581_),
-    .A1(_00572_),
-    .S(_00175_),
-    .X(_00582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16908_ (.A0(_00582_),
-    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
-    .S(_02837_),
-    .X(_00583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16909_ (.A0(_00583_),
-    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
-    .S(_00174_),
-    .X(_00584_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16910_ (.A0(_00584_),
-    .A1(_00244_),
-    .S(_00242_),
-    .X(_00585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16911_ (.A0(_00585_),
-    .A1(_00244_),
-    .S(_00247_),
-    .X(_00586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16912_ (.A0(_00503_),
-    .A1(_00502_),
-    .S(_00473_),
-    .X(_00504_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16913_ (.A0(_00489_),
-    .A1(_00616_),
-    .S(_00173_),
-    .X(_00617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16914_ (.A0(_00587_),
-    .A1(_00588_),
-    .S(_00246_),
-    .X(_00589_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16915_ (.A0(_00504_),
-    .A1(_00618_),
-    .S(_00173_),
-    .X(_00619_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16916_ (.A0(_00227_),
-    .A1(_00225_),
-    .S(_00226_),
-    .X(_00034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16917_ (.A0(_00261_),
-    .A1(_00260_),
-    .S(\u_uart_core.tx_fifo_rd ),
-    .X(_00031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16918_ (.A0(_00275_),
-    .A1(_00274_),
-    .S(\u_uart_core.tx_fifo_wr_en ),
-    .X(_00030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16919_ (.A0(_00298_),
-    .A1(_00297_),
-    .S(\u_uart_core.rx_fifo_wr ),
-    .X(_00032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16920_ (.A0(_00312_),
-    .A1(_00311_),
-    .S(\u_uart_core.app_rxfifo_rd_en ),
-    .X(_00033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16921_ (.A0(_00191_),
-    .A1(_00360_),
-    .S(_00359_),
-    .X(_00123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16922_ (.A0(_00198_),
-    .A1(_00361_),
-    .S(_00192_),
-    .X(_00121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16923_ (.A0(_00188_),
-    .A1(_00363_),
-    .S(_00362_),
-    .X(_00122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16924_ (.A0(_00365_),
-    .A1(_00364_),
-    .S(_00189_),
-    .X(_00120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16925_ (.A0(_00193_),
-    .A1(_00367_),
-    .S(_00366_),
-    .X(_00118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16926_ (.A0(_00195_),
-    .A1(_00368_),
-    .S(_00194_),
-    .X(_00116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16927_ (.A0(_00196_),
-    .A1(_00370_),
-    .S(_00369_),
-    .X(_00117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16928_ (.A0(_00372_),
-    .A1(_00371_),
-    .S(_00197_),
-    .X(_00115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16929_ (.A0(_00373_),
-    .A1(_00374_),
-    .S(_00313_),
-    .X(_00104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16930_ (.A0(_00376_),
-    .A1(_00375_),
-    .S(_00313_),
-    .X(_00106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16931_ (.A0(_00378_),
-    .A1(_00377_),
-    .S(_00313_),
-    .X(_00107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16932_ (.A0(_00380_),
-    .A1(_00379_),
-    .S(_00313_),
-    .X(_00108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16933_ (.A0(_00382_),
-    .A1(_00381_),
-    .S(_00313_),
-    .X(_00109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16934_ (.A0(_00384_),
-    .A1(_00383_),
-    .S(_00313_),
-    .X(_00110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16935_ (.A0(_00386_),
-    .A1(_00385_),
-    .S(_00313_),
-    .X(_00111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16936_ (.A0(_00388_),
-    .A1(_00387_),
-    .S(_00313_),
-    .X(_00112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16937_ (.A0(_00390_),
-    .A1(_00389_),
-    .S(_00313_),
-    .X(_00113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16938_ (.A0(_00392_),
-    .A1(_00391_),
-    .S(_00313_),
-    .X(_00114_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16939_ (.A0(_00394_),
-    .A1(_00393_),
-    .S(_00313_),
-    .X(_00105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16940_ (.A0(_00395_),
-    .A1(_00396_),
-    .S(_00228_),
-    .X(_00092_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16941_ (.A0(_00398_),
-    .A1(_00397_),
-    .S(_00228_),
-    .X(_00094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16942_ (.A0(_00400_),
-    .A1(_00399_),
-    .S(_00228_),
-    .X(_00095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16943_ (.A0(_00402_),
-    .A1(_00401_),
-    .S(_00228_),
-    .X(_00096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16944_ (.A0(_00404_),
-    .A1(_00403_),
-    .S(_00228_),
-    .X(_00097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16945_ (.A0(_00406_),
-    .A1(_00405_),
-    .S(_00228_),
-    .X(_00098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16946_ (.A0(_00408_),
-    .A1(_00407_),
-    .S(_00228_),
-    .X(_00099_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16947_ (.A0(_00410_),
-    .A1(_00409_),
-    .S(_00228_),
-    .X(_00100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16948_ (.A0(_00412_),
-    .A1(_00411_),
-    .S(_00228_),
-    .X(_00101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16949_ (.A0(_00414_),
-    .A1(_00413_),
-    .S(_00228_),
-    .X(_00102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16950_ (.A0(_00416_),
-    .A1(_00415_),
-    .S(_00228_),
-    .X(_00093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16951_ (.A0(\u_i2cm.u_byte_ctrl.core_rxd ),
-    .A1(\u_i2cm.txr[0] ),
-    .S(\u_i2cm.u_byte_ctrl.ld ),
-    .X(_00045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16952_ (.A0(\u_i2cm.rxr[0] ),
-    .A1(\u_i2cm.txr[1] ),
-    .S(\u_i2cm.u_byte_ctrl.ld ),
-    .X(_00046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16953_ (.A0(\u_i2cm.rxr[1] ),
-    .A1(\u_i2cm.txr[2] ),
-    .S(\u_i2cm.u_byte_ctrl.ld ),
-    .X(_00047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16954_ (.A0(\u_i2cm.rxr[2] ),
-    .A1(\u_i2cm.txr[3] ),
-    .S(\u_i2cm.u_byte_ctrl.ld ),
-    .X(_00048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16955_ (.A0(\u_i2cm.rxr[3] ),
-    .A1(\u_i2cm.txr[4] ),
-    .S(\u_i2cm.u_byte_ctrl.ld ),
-    .X(_00049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16956_ (.A0(\u_i2cm.rxr[4] ),
-    .A1(\u_i2cm.txr[5] ),
-    .S(\u_i2cm.u_byte_ctrl.ld ),
-    .X(_00050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16957_ (.A0(\u_i2cm.rxr[5] ),
-    .A1(\u_i2cm.txr[6] ),
-    .S(\u_i2cm.u_byte_ctrl.ld ),
-    .X(_00051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16958_ (.A0(\u_i2cm.rxr[6] ),
-    .A1(\u_i2cm.txr[7] ),
-    .S(\u_i2cm.u_byte_ctrl.ld ),
-    .X(_00052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16959_ (.A0(_00421_),
-    .A1(\u_i2cm.prer[0] ),
-    .S(_00036_),
-    .X(_00056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16960_ (.A0(_00422_),
-    .A1(\u_i2cm.prer[1] ),
-    .S(_00036_),
-    .X(_00063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16961_ (.A0(_00423_),
-    .A1(\u_i2cm.prer[2] ),
-    .S(_00036_),
-    .X(_00064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16962_ (.A0(_00424_),
-    .A1(\u_i2cm.prer[3] ),
-    .S(_00036_),
-    .X(_00065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16963_ (.A0(_00425_),
-    .A1(\u_i2cm.prer[4] ),
-    .S(_00036_),
-    .X(_00066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16964_ (.A0(_00426_),
-    .A1(\u_i2cm.prer[5] ),
-    .S(_00036_),
-    .X(_00067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16965_ (.A0(_00427_),
-    .A1(\u_i2cm.prer[6] ),
-    .S(_00036_),
-    .X(_00068_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16966_ (.A0(_00428_),
-    .A1(\u_i2cm.prer[7] ),
-    .S(_00036_),
-    .X(_00069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16967_ (.A0(_00429_),
-    .A1(\u_i2cm.prer[8] ),
-    .S(_00036_),
-    .X(_00070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16968_ (.A0(_00430_),
-    .A1(\u_i2cm.prer[9] ),
-    .S(_00036_),
-    .X(_00071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16969_ (.A0(_00431_),
-    .A1(\u_i2cm.prer[10] ),
-    .S(_00036_),
-    .X(_00057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16970_ (.A0(_00432_),
-    .A1(\u_i2cm.prer[11] ),
-    .S(_00036_),
-    .X(_00058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16971_ (.A0(_00433_),
-    .A1(\u_i2cm.prer[12] ),
-    .S(_00036_),
-    .X(_00059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16972_ (.A0(_00434_),
-    .A1(\u_i2cm.prer[13] ),
-    .S(_00036_),
-    .X(_00060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16973_ (.A0(_00435_),
-    .A1(\u_i2cm.prer[14] ),
-    .S(_00036_),
-    .X(_00061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16974_ (.A0(_00436_),
-    .A1(\u_i2cm.prer[15] ),
-    .S(_00036_),
-    .X(_00062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16975_ (.A0(_00597_),
-    .A1(_00596_),
-    .S(\u_usb_host.u_core.transfer_start_q ),
-    .X(_00128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16976_ (.A0(_00598_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[0] ),
-    .S(_00276_),
-    .X(_00149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16977_ (.A0(_00599_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[1] ),
-    .S(_00276_),
-    .X(_00156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16978_ (.A0(_00600_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[2] ),
-    .S(_00276_),
-    .X(_00157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16979_ (.A0(_00601_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[3] ),
-    .S(_00276_),
-    .X(_00158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16980_ (.A0(_00602_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[4] ),
-    .S(_00276_),
-    .X(_00159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16981_ (.A0(_00603_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
-    .S(_00276_),
-    .X(_00160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16982_ (.A0(_00604_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
-    .S(_00276_),
-    .X(_00161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16983_ (.A0(_00605_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[7] ),
-    .S(_00276_),
-    .X(_00162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16984_ (.A0(_00606_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
-    .S(_00276_),
-    .X(_00163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16985_ (.A0(_00607_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[9] ),
-    .S(_00276_),
-    .X(_00164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16986_ (.A0(_00608_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[10] ),
-    .S(_00276_),
-    .X(_00150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16987_ (.A0(_00609_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
-    .S(_00276_),
-    .X(_00151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16988_ (.A0(_00610_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
-    .S(_00276_),
-    .X(_00152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16989_ (.A0(_00611_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[13] ),
-    .S(_00276_),
-    .X(_00153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16990_ (.A0(_00612_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[14] ),
-    .S(_00276_),
-    .X(_00154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16991_ (.A0(_00613_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[15] ),
-    .S(_00276_),
-    .X(_00155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16992_ (.A0(_00663_),
-    .A1(_00662_),
-    .S(_00245_),
-    .X(_00142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16993_ (.A0(_00664_),
-    .A1(_00665_),
-    .S(_00245_),
-    .X(_00143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16994_ (.A0(_00666_),
-    .A1(_00667_),
-    .S(_00245_),
-    .X(_00144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16995_ (.A0(_00668_),
-    .A1(_00669_),
-    .S(_00245_),
-    .X(_00145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16996_ (.A0(_00670_),
-    .A1(_00671_),
-    .S(_00245_),
-    .X(_00146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16997_ (.A0(_00672_),
-    .A1(_00673_),
-    .S(_00245_),
-    .X(_00147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16998_ (.A0(_00674_),
-    .A1(_00675_),
-    .S(_00245_),
-    .X(_00148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _16999_ (.A0(_00677_),
-    .A1(_00676_),
-    .S(_00241_),
-    .X(_00135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17000_ (.A0(_00678_),
-    .A1(_00679_),
-    .S(_00241_),
-    .X(_00136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17001_ (.A0(_00680_),
-    .A1(_00681_),
-    .S(_00241_),
-    .X(_00137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17002_ (.A0(_00682_),
-    .A1(_00683_),
-    .S(_00241_),
-    .X(_00138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17003_ (.A0(_00684_),
-    .A1(_00685_),
-    .S(_00241_),
-    .X(_00139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17004_ (.A0(_00686_),
-    .A1(_00687_),
-    .S(_00241_),
-    .X(_00140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17005_ (.A0(_00688_),
-    .A1(_00689_),
-    .S(_00241_),
-    .X(_00141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17006_ (.A0(_00719_),
-    .A1(_00718_),
-    .S(_00717_),
-    .X(_00124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17007_ (.A0(_00190_),
-    .A1(_00721_),
-    .S(_00720_),
-    .X(_00125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17008_ (.A0(_00213_),
-    .A1(_00212_),
-    .S(\u_uart_core.u_rxfsm.rxstate[2] ),
-    .X(_00119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17009_ (.A0(_00740_),
-    .A1(\u_i2cm.prer[0] ),
-    .S(_00739_),
-    .X(_08558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17010_ (.A0(_00741_),
-    .A1(\u_i2cm.prer[1] ),
-    .S(_00739_),
-    .X(_08559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17011_ (.A0(_00742_),
-    .A1(\u_i2cm.prer[2] ),
-    .S(_00739_),
-    .X(_08560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17012_ (.A0(_00743_),
-    .A1(\u_i2cm.prer[3] ),
-    .S(_00739_),
-    .X(_08561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17013_ (.A0(_00744_),
-    .A1(\u_i2cm.prer[4] ),
-    .S(_00739_),
-    .X(_08562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17014_ (.A0(_00745_),
-    .A1(\u_i2cm.prer[5] ),
-    .S(_00739_),
-    .X(_08563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17015_ (.A0(_00746_),
-    .A1(\u_i2cm.prer[6] ),
-    .S(_00739_),
-    .X(_08564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17016_ (.A0(_00747_),
-    .A1(\u_i2cm.prer[7] ),
-    .S(_00739_),
-    .X(_08565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17017_ (.A0(_00262_),
-    .A1(_00266_),
-    .S(_00265_),
-    .X(_00267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17018_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17019_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17020_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17021_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17022_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17023_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _17024_ (.A0(_00735_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
-    .S(_00722_),
-    .X(_00736_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17025_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17026_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17027_ (.A0(_00305_),
-    .A1(_00306_),
-    .S(_00302_),
-    .X(_00307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17028_ (.A0(_00308_),
-    .A1(_00309_),
-    .S(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
-    .X(_00310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _17029_ (.A0(_00263_),
-    .A1(_00264_),
-    .S(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
-    .X(_00265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17030_ (.A0(_00754_),
-    .A1(\u_uart_core.cfg_tx_enable ),
-    .S(_00748_),
-    .X(\u_uart_core.u_cfg.reg_out[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17031_ (.A0(_00760_),
-    .A1(\u_uart_core.cfg_rx_enable ),
-    .S(_00748_),
-    .X(\u_uart_core.u_cfg.reg_out[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17032_ (.A0(_00766_),
-    .A1(\u_uart_core.cfg_stop_bit ),
-    .S(_00748_),
-    .X(\u_uart_core.u_cfg.reg_out[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17033_ (.A0(_00772_),
-    .A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .S(_00748_),
-    .X(\u_uart_core.u_cfg.reg_out[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17034_ (.A0(_00778_),
-    .A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .S(_00748_),
-    .X(\u_uart_core.u_cfg.reg_out[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _17035_ (.A0(_00300_),
-    .A1(_00301_),
-    .S(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
-    .X(_00302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _17036_ (.A0(_00299_),
-    .A1(_00303_),
-    .S(_00302_),
-    .X(_00304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17037_ (.A0(\u_usb_host.out_tx_oen ),
-    .A1(\u_i2cm.sda_padoen_o ),
-    .S(_00314_),
-    .X(_00316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _17038_ (.A0(_00277_),
-    .A1(_00278_),
-    .S(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
-    .X(_00279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17039_ (.A0(_00268_),
-    .A1(_00269_),
-    .S(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
-    .X(_00270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17040_ (.A0(_00280_),
-    .A1(_00281_),
-    .S(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
-    .X(_00282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _17041_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
+ sky130_fd_sc_hd__mux2_4 _15854_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00334_),
@@ -246919,15 +241324,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17042_ (.A0(_00271_),
-    .A1(_00272_),
-    .S(_00265_),
-    .X(_00273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17043_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
+ sky130_fd_sc_hd__mux2_2 _15855_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00335_),
@@ -246935,63 +241332,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17044_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17045_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17046_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17047_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17048_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17049_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17050_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17051_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
+ sky130_fd_sc_hd__mux2_1 _15856_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00342_),
@@ -246999,39 +241340,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17052_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
+ sky130_fd_sc_hd__mux2_1 _15857_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00343_),
+    .X(_00352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17053_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
+ sky130_fd_sc_hd__mux2_1 _15858_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00344_),
+    .X(_00350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17054_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
-    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00345_),
+ sky130_fd_sc_hd__mux2_1 _15859_ (.A0(\u_i2cm.rxr[7] ),
+    .A1(\u_i2cm.ack ),
+    .S(\u_i2cm.u_byte_ctrl.core_ack ),
+    .X(_00418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17055_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
+ sky130_fd_sc_hd__mux2_1 _15860_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00346_),
+    .X(_00354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17056_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
+ sky130_fd_sc_hd__mux2_1 _15861_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15862_ (.A0(_00447_),
+    .A1(\u_i2cm.prer[7] ),
+    .S(_00229_),
+    .X(_00448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15863_ (.A0(_00460_),
+    .A1(\u_i2cm.prer[13] ),
+    .S(_00229_),
+    .X(_00461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15864_ (.A0(_00462_),
+    .A1(\u_i2cm.prer[14] ),
+    .S(_00229_),
+    .X(_00463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15865_ (.A0(_00451_),
+    .A1(\u_i2cm.prer[9] ),
+    .S(_00229_),
+    .X(_00452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15866_ (.A0(_00453_),
+    .A1(\u_i2cm.prer[10] ),
+    .S(_00229_),
+    .X(_00454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15867_ (.A0(_00466_),
+    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
+    .S(_00215_),
+    .X(_00467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15868_ (.A0(_00458_),
+    .A1(\u_i2cm.prer[12] ),
+    .S(_00229_),
+    .X(_00459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_4 _15869_ (.A0(_00735_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
+    .S(_00722_),
+    .X(_00736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15870_ (.A0(_00476_),
+    .A1(_00471_),
+    .S(_00188_),
+    .X(_00477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15871_ (.A0(_00477_),
+    .A1(_00470_),
+    .S(_00189_),
+    .X(_00478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _15872_ (.A0(_00478_),
+    .A1(_00469_),
+    .S(_00186_),
+    .X(_00479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15873_ (.A0(_00479_),
+    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
+    .S(_02837_),
+    .X(_00480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15874_ (.A0(_00480_),
+    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
+    .S(_00185_),
+    .X(_00481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15875_ (.A0(_00481_),
+    .A1(_00468_),
+    .S(_00242_),
+    .X(_00482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15876_ (.A0(_00482_),
+    .A1(_00468_),
+    .S(_00247_),
+    .X(_00483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15877_ (.A0(_00488_),
+    .A1(_00487_),
+    .S(_00473_),
+    .X(_00489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_4 _15878_ (.A0(_00317_),
+    .A1(\u_uart_core.txd ),
+    .S(_00315_),
+    .X(net51),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15879_ (.A0(_00729_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
+    .S(_00722_),
+    .X(_00730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15880_ (.A0(_00503_),
+    .A1(_00502_),
+    .S(_00473_),
+    .X(_00504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _15881_ (.A0(\u_usb_host.out_tx_oen ),
+    .A1(\u_i2cm.scl_padoen_o ),
+    .S(_00314_),
+    .X(_00797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15882_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
     .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .X(_00347_),
@@ -247039,183 +241548,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17057_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
+ sky130_fd_sc_hd__mux2_1 _15883_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
     .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .X(_00348_),
+    .X(_00346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17058_ (.A0(_00205_),
-    .A1(_00184_),
-    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00206_),
+ sky130_fd_sc_hd__mux2_1 _15884_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17059_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
-    .A1(\u_usb_host.u_core.sof_value_q[6] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_dev_w[0] ),
+ sky130_fd_sc_hd__mux2_1 _15885_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17060_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
-    .A1(\u_usb_host.u_core.sof_value_q[5] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_dev_w[1] ),
+ sky130_fd_sc_hd__mux2_1 _15886_ (.A0(\u_usb_host.out_tx_oen ),
+    .A1(\u_i2cm.sda_padoen_o ),
+    .S(_00314_),
+    .X(_00316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17061_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
-    .A1(\u_usb_host.u_core.sof_value_q[4] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_dev_w[2] ),
+ sky130_fd_sc_hd__mux2_1 _15887_ (.A0(_00803_),
+    .A1(_00802_),
+    .S(_00216_),
+    .X(_00804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17062_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
-    .A1(\u_usb_host.u_core.sof_value_q[3] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_dev_w[3] ),
+ sky130_fd_sc_hd__mux2_1 _15888_ (.A0(_00804_),
+    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
+    .S(_00215_),
+    .X(_00805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17063_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
-    .A1(\u_usb_host.u_core.sof_value_q[2] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_dev_w[4] ),
+ sky130_fd_sc_hd__mux2_1 _15889_ (.A0(_00505_),
+    .A1(_00501_),
+    .S(_00188_),
+    .X(_00506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17064_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
-    .A1(\u_usb_host.u_core.sof_value_q[1] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_dev_w[5] ),
+ sky130_fd_sc_hd__mux2_1 _15890_ (.A0(_00506_),
+    .A1(_00500_),
+    .S(_00189_),
+    .X(_00507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17065_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
-    .A1(\u_usb_host.u_core.sof_value_q[0] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_dev_w[6] ),
+ sky130_fd_sc_hd__mux2_2 _15891_ (.A0(_00507_),
+    .A1(_00499_),
+    .S(_00186_),
+    .X(_00508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17066_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
-    .A1(\u_usb_host.u_core.sof_value_q[10] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_ep_w[0] ),
+ sky130_fd_sc_hd__mux2_1 _15892_ (.A0(_00508_),
+    .A1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+    .S(_02837_),
+    .X(_00509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17067_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
-    .A1(\u_usb_host.u_core.sof_value_q[9] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_ep_w[1] ),
+ sky130_fd_sc_hd__mux2_1 _15893_ (.A0(_00509_),
+    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .S(_00185_),
+    .X(_00510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17068_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
-    .A1(\u_usb_host.u_core.sof_value_q[8] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_ep_w[2] ),
+ sky130_fd_sc_hd__mux2_1 _15894_ (.A0(_00510_),
+    .A1(_00498_),
+    .S(_00242_),
+    .X(_00511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17069_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
-    .A1(\u_usb_host.u_core.sof_value_q[7] ),
-    .S(\u_usb_host.u_core.sof_transfer_q ),
-    .X(\u_usb_host.u_core.token_ep_w[3] ),
+ sky130_fd_sc_hd__mux2_1 _15895_ (.A0(_00511_),
+    .A1(_00498_),
+    .S(_00247_),
+    .X(_00512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17070_ (.A0(_00257_),
-    .A1(_00258_),
-    .S(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
-    .X(_00259_),
+ sky130_fd_sc_hd__mux2_1 _15896_ (.A0(_00517_),
+    .A1(_00516_),
+    .S(_00473_),
+    .X(_00518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17071_ (.A0(_00210_),
-    .A1(\u_usb_host.u_core.u_sie.state_q[0] ),
-    .S(_00179_),
-    .X(\u_usb_host.u_core.u_sie.next_state_r[0] ),
+ sky130_fd_sc_hd__mux2_1 _15897_ (.A0(_00449_),
+    .A1(\u_i2cm.prer[8] ),
+    .S(_00229_),
+    .X(_00450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17072_ (.A0(_00224_),
-    .A1(\u_usb_host.u_core.u_sie.state_q[1] ),
-    .S(_00179_),
-    .X(\u_usb_host.u_core.u_sie.next_state_r[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17073_ (.A0(_00203_),
-    .A1(\u_usb_host.u_core.u_sie.state_q[2] ),
-    .S(_00179_),
-    .X(\u_usb_host.u_core.u_sie.next_state_r[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17074_ (.A0(_00187_),
-    .A1(\u_usb_host.u_core.u_sie.state_q[3] ),
-    .S(_00179_),
-    .X(\u_usb_host.u_core.u_sie.next_state_r[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _17075_ (.A0(\u_usb_host.u_phy.out_dp_q ),
-    .A1(\u_usb_host.u_phy.rx_dp_q ),
-    .S(\u_usb_host.out_tx_oen ),
-    .X(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _17076_ (.A0(\u_usb_host.u_phy.out_dn_q ),
-    .A1(\u_usb_host.u_phy.rx_dn_q ),
-    .S(\u_usb_host.out_tx_oen ),
-    .X(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17077_ (.A0(_00737_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
-    .S(_00722_),
-    .X(_00738_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17078_ (.A0(_00255_),
-    .A1(_00254_),
-    .S(_00251_),
-    .X(_00256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17079_ (.A0(_00456_),
+ sky130_fd_sc_hd__mux2_1 _15898_ (.A0(_00456_),
     .A1(_00455_),
     .S(_00229_),
     .X(_00457_),
@@ -247223,103 +241676,367 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17080_ (.A0(_00199_),
-    .A1(_00201_),
+ sky130_fd_sc_hd__mux2_1 _15899_ (.A0(_00419_),
+    .A1(\u_i2cm.rxr[7] ),
+    .S(_00417_),
+    .X(_00420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15900_ (.A0(_00445_),
+    .A1(\u_i2cm.prer[6] ),
+    .S(_00229_),
+    .X(_00446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15901_ (.A0(_00443_),
+    .A1(\u_i2cm.prer[5] ),
+    .S(_00229_),
+    .X(_00444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15902_ (.A0(_00794_),
+    .A1(_00795_),
+    .S(\u_uart_core.u_txfsm.cnt[2] ),
+    .X(_00796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15903_ (.A0(_00439_),
+    .A1(\u_i2cm.prer[3] ),
+    .S(_00229_),
+    .X(_00440_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15904_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
+    .A1(_00437_),
+    .S(_00229_),
+    .X(_00438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_4 _15905_ (.A0(_00723_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
+    .S(_00722_),
+    .X(_00724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_4 _15906_ (.A0(_00727_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
+    .S(_00722_),
+    .X(_00728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_4 _15907_ (.A0(_00731_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
+    .S(_00722_),
+    .X(_00732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15908_ (.A0(_00280_),
+    .A1(_00281_),
+    .S(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .X(_00282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15909_ (.A0(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .A1(_00193_),
     .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00202_),
+    .X(_00194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17081_ (.A0(\u_usb_host.u_core.u_sie.state_q[2] ),
-    .A1(_00186_),
+ sky130_fd_sc_hd__mux2_1 _15910_ (.A0(_00191_),
+    .A1(_00195_),
     .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .X(_00200_),
+    .X(_00196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17082_ (.A0(_00490_),
-    .A1(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .S(_00177_),
-    .X(_00491_),
+ sky130_fd_sc_hd__mux2_1 _15911_ (.A0(_00533_),
+    .A1(_00532_),
+    .S(_00473_),
+    .X(_00534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17083_ (.A0(_00491_),
-    .A1(\u_usb_host.u_core.u_sie.token_q[14] ),
-    .S(_00178_),
-    .X(_00492_),
+ sky130_fd_sc_hd__mux2_1 _15912_ (.A0(_00535_),
+    .A1(_00531_),
+    .S(_00188_),
+    .X(_00536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17084_ (.A0(_00492_),
-    .A1(_00486_),
-    .S(_00175_),
-    .X(_00493_),
+ sky130_fd_sc_hd__mux2_1 _15913_ (.A0(_00536_),
+    .A1(_00530_),
+    .S(_00189_),
+    .X(_00537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17085_ (.A0(_00493_),
-    .A1(_00485_),
+ sky130_fd_sc_hd__mux2_2 _15914_ (.A0(_00537_),
+    .A1(_00529_),
+    .S(_00186_),
+    .X(_00538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15915_ (.A0(_00538_),
+    .A1(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
     .S(_02837_),
-    .X(_00494_),
+    .X(_00539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17086_ (.A0(_00494_),
-    .A1(_00484_),
-    .S(_00174_),
-    .X(_00495_),
+ sky130_fd_sc_hd__mux2_1 _15916_ (.A0(_00539_),
+    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .S(_00185_),
+    .X(_00540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17087_ (.A0(_00495_),
-    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
+ sky130_fd_sc_hd__mux2_1 _15917_ (.A0(_00540_),
+    .A1(_00528_),
     .S(_00242_),
-    .X(_00496_),
+    .X(_00541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17088_ (.A0(_00496_),
-    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
+ sky130_fd_sc_hd__mux2_1 _15918_ (.A0(_00541_),
+    .A1(_00528_),
     .S(_00247_),
-    .X(_00497_),
+    .X(_00542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17089_ (.A0(_00549_),
+ sky130_fd_sc_hd__mux2_1 _15919_ (.A0(_00207_),
+    .A1(_00217_),
+    .S(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
+    .X(_00218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15920_ (.A0(_00217_),
+    .A1(_00200_),
+    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .X(_00220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15921_ (.A0(_00219_),
+    .A1(_00193_),
+    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .X(_00221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15922_ (.A0(_00217_),
+    .A1(\u_usb_host.u_core.u_sie.send_sof_q ),
+    .S(_00192_),
+    .X(_00222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15923_ (.A0(_00217_),
+    .A1(_00203_),
+    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .X(_00223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15924_ (.A0(_00519_),
+    .A1(\u_usb_host.u_core.u_sie.send_data1_q ),
+    .S(_00187_),
+    .X(_00520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15925_ (.A0(_00520_),
+    .A1(\u_usb_host.u_core.u_sie.token_q[4] ),
+    .S(_00188_),
+    .X(_00521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15926_ (.A0(_00521_),
+    .A1(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .S(_00189_),
+    .X(_00522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15927_ (.A0(_00522_),
+    .A1(_00515_),
+    .S(_00186_),
+    .X(_00523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15928_ (.A0(_00523_),
+    .A1(_00514_),
+    .S(_02837_),
+    .X(_00524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15929_ (.A0(_00524_),
+    .A1(_00513_),
+    .S(_00185_),
+    .X(_00525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15930_ (.A0(_00525_),
+    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
+    .S(_00242_),
+    .X(_00526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15931_ (.A0(_00526_),
+    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
+    .S(_00247_),
+    .X(_00527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15932_ (.A0(_00474_),
+    .A1(_00472_),
+    .S(_00473_),
+    .X(_00475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _15933_ (.A0(_00733_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
+    .S(_00722_),
+    .X(_00734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15934_ (.A0(_00464_),
+    .A1(\u_i2cm.prer[15] ),
+    .S(_00229_),
+    .X(_00465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15935_ (.A0(_00206_),
+    .A1(_00200_),
+    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .X(_00209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15936_ (.A0(_00207_),
+    .A1(_00206_),
+    .S(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
+    .X(_00208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15937_ (.A0(_00441_),
+    .A1(\u_i2cm.prer[4] ),
+    .S(_00229_),
+    .X(_00442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15938_ (.A0(_00800_),
+    .A1(_00799_),
+    .S(_00798_),
+    .X(_00801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _15939_ (.A0(_00725_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
+    .S(_00722_),
+    .X(_00726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _15940_ (.A0(_00547_),
+    .A1(_00546_),
+    .S(_00473_),
+    .X(_00548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15941_ (.A0(_00549_),
     .A1(\u_usb_host.u_core.u_sie.token_q[2] ),
-    .S(_00177_),
+    .S(_00188_),
     .X(_00550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17090_ (.A0(_00550_),
+ sky130_fd_sc_hd__mux2_1 _15942_ (.A0(_00550_),
     .A1(\u_usb_host.u_core.u_sie.token_q[10] ),
-    .S(_00178_),
+    .S(_00189_),
     .X(_00551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17091_ (.A0(_00551_),
+ sky130_fd_sc_hd__mux2_1 _15943_ (.A0(_00551_),
     .A1(_00545_),
-    .S(_00175_),
+    .S(_00186_),
     .X(_00552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17092_ (.A0(_00552_),
+ sky130_fd_sc_hd__mux2_1 _15944_ (.A0(_00552_),
     .A1(_00544_),
     .S(_02837_),
     .X(_00553_),
@@ -247327,15 +242044,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17093_ (.A0(_00553_),
+ sky130_fd_sc_hd__mux2_1 _15945_ (.A0(_00553_),
     .A1(_00543_),
-    .S(_00174_),
+    .S(_00185_),
     .X(_00554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17094_ (.A0(_00554_),
+ sky130_fd_sc_hd__mux2_1 _15946_ (.A0(_00554_),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
     .S(_00242_),
     .X(_00555_),
@@ -247343,7 +242060,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _17095_ (.A0(_00555_),
+ sky130_fd_sc_hd__mux2_1 _15947_ (.A0(_00555_),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
     .S(_00247_),
     .X(_00556_),
@@ -247351,304 +242068,1575 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17096_ (.A0(\u_uart_core.u_rxfifo.mem[0][2] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][2] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][2] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][2] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00761_),
+ sky130_fd_sc_hd__mux2_1 _15948_ (.A0(\u_usb_host.u_phy.state_q[5] ),
+    .A1(\u_usb_host.u_phy.state_q[8] ),
+    .S(_00214_),
+    .X(_00243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17097_ (.A0(\u_uart_core.u_rxfifo.mem[4][2] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][2] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][2] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][2] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00762_),
+ sky130_fd_sc_hd__mux2_1 _15949_ (.A0(_00233_),
+    .A1(_00234_),
+    .S(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .X(_00235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17098_ (.A0(\u_uart_core.u_rxfifo.mem[8][2] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][2] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][2] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][2] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00763_),
+ sky130_fd_sc_hd__mux2_1 _15950_ (.A0(_00230_),
+    .A1(_00231_),
+    .S(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .X(_00232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17099_ (.A0(\u_uart_core.u_rxfifo.mem[12][2] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][2] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][2] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][2] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00764_),
+ sky130_fd_sc_hd__mux2_1 _15951_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17100_ (.A0(_00761_),
-    .A1(_00762_),
-    .A2(_00763_),
-    .A3(_00764_),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .X(_00765_),
+ sky130_fd_sc_hd__mux2_1 _15952_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17101_ (.A0(\u_uart_core.u_txfsm.txdata[0] ),
-    .A1(\u_uart_core.u_txfsm.txdata[1] ),
-    .A2(\u_uart_core.u_txfsm.txdata[2] ),
-    .A3(\u_uart_core.u_txfsm.txdata[3] ),
-    .S0(\u_uart_core.u_txfsm.cnt[0] ),
-    .S1(\u_uart_core.u_txfsm.cnt[1] ),
-    .X(_00794_),
+ sky130_fd_sc_hd__mux2_1 _15953_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17102_ (.A0(\u_uart_core.u_txfsm.txdata[4] ),
-    .A1(\u_uart_core.u_txfsm.txdata[5] ),
-    .A2(\u_uart_core.u_txfsm.txdata[6] ),
-    .A3(\u_uart_core.u_txfsm.txdata[7] ),
-    .S0(\u_uart_core.u_txfsm.cnt[0] ),
-    .S1(\u_uart_core.u_txfsm.cnt[1] ),
-    .X(_00795_),
+ sky130_fd_sc_hd__mux2_1 _15954_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17103_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00716_),
+ sky130_fd_sc_hd__mux2_1 _15955_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17104_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00698_),
+ sky130_fd_sc_hd__mux2_2 _15956_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17105_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00236_),
+ sky130_fd_sc_hd__mux2_1 _15957_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17106_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00690_),
+ sky130_fd_sc_hd__mux2_2 _15958_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17107_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00691_),
+ sky130_fd_sc_hd__mux2_2 _15959_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17108_ (.A0(\u_uart_core.u_rxfifo.mem[0][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][5] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][5] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][5] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00779_),
+ sky130_fd_sc_hd__mux2_1 _15960_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17109_ (.A0(\u_uart_core.u_rxfifo.mem[4][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][5] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][5] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][5] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00780_),
+ sky130_fd_sc_hd__mux2_1 _15961_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17110_ (.A0(\u_uart_core.u_rxfifo.mem[8][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][5] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][5] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][5] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00781_),
+ sky130_fd_sc_hd__mux2_1 _15962_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17111_ (.A0(\u_uart_core.u_rxfifo.mem[12][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][5] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][5] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][5] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00782_),
+ sky130_fd_sc_hd__mux2_2 _15963_ (.A0(_00737_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
+    .S(_00722_),
+    .X(_00738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17112_ (.A0(_00779_),
-    .A1(_00780_),
-    .A2(_00781_),
-    .A3(_00782_),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .X(_00783_),
+ sky130_fd_sc_hd__mux2_1 _15964_ (.A0(_00562_),
+    .A1(_00561_),
+    .S(_00473_),
+    .X(_00563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17113_ (.A0(net189),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00693_),
+ sky130_fd_sc_hd__mux2_1 _15965_ (.A0(_00578_),
+    .A1(\u_usb_host.u_core.u_sie.send_data1_q ),
+    .S(_00187_),
+    .X(_00579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17114_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00692_),
+ sky130_fd_sc_hd__mux2_1 _15966_ (.A0(_00579_),
+    .A1(_00574_),
+    .S(_00188_),
+    .X(_00580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17115_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00694_),
+ sky130_fd_sc_hd__mux2_1 _15967_ (.A0(_00580_),
+    .A1(_00573_),
+    .S(_00189_),
+    .X(_00581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17116_ (.A0(net167),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00696_),
+ sky130_fd_sc_hd__mux2_1 _15968_ (.A0(_00581_),
+    .A1(_00572_),
+    .S(_00186_),
+    .X(_00582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17117_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
-    .A2(net178),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00695_),
+ sky130_fd_sc_hd__mux2_1 _15969_ (.A0(_00582_),
+    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
+    .S(_02837_),
+    .X(_00583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17118_ (.A0(\u_uart_core.u_rxfifo.mem[0][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][6] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][6] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][6] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00784_),
+ sky130_fd_sc_hd__mux2_1 _15970_ (.A0(_00583_),
+    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+    .S(_00185_),
+    .X(_00584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17119_ (.A0(\u_uart_core.u_rxfifo.mem[4][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][6] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][6] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][6] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00785_),
+ sky130_fd_sc_hd__mux2_1 _15971_ (.A0(_00584_),
+    .A1(_00244_),
+    .S(_00242_),
+    .X(_00585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17120_ (.A0(\u_uart_core.u_rxfifo.mem[8][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][6] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][6] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][6] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00786_),
+ sky130_fd_sc_hd__mux2_1 _15972_ (.A0(_00585_),
+    .A1(_00244_),
+    .S(_00247_),
+    .X(_00586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17121_ (.A0(\u_uart_core.u_rxfifo.mem[12][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][6] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][6] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][6] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00787_),
+ sky130_fd_sc_hd__mux2_1 _15973_ (.A0(_00593_),
+    .A1(_00594_),
+    .S(_00246_),
+    .X(_00595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17122_ (.A0(_00784_),
-    .A1(_00785_),
-    .A2(_00786_),
-    .A3(_00787_),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .X(_00788_),
+ sky130_fd_sc_hd__mux2_1 _15974_ (.A0(_00489_),
+    .A1(_00616_),
+    .S(_00184_),
+    .X(_00617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17123_ (.A0(\u_uart_core.u_rxfifo.mem[0][7] ),
+ sky130_fd_sc_hd__mux2_1 _15975_ (.A0(_00475_),
+    .A1(_00614_),
+    .S(_00184_),
+    .X(_00615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15976_ (.A0(_00577_),
+    .A1(_00628_),
+    .S(_00184_),
+    .X(_00629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15977_ (.A0(_00504_),
+    .A1(_00618_),
+    .S(_00184_),
+    .X(_00619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15978_ (.A0(_00518_),
+    .A1(_00620_),
+    .S(_00184_),
+    .X(_00621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15979_ (.A0(_00534_),
+    .A1(_00622_),
+    .S(_00184_),
+    .X(_00623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15980_ (.A0(_00564_),
+    .A1(_00560_),
+    .S(_00188_),
+    .X(_00565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15981_ (.A0(_00565_),
+    .A1(_00559_),
+    .S(_00189_),
+    .X(_00566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15982_ (.A0(_00566_),
+    .A1(_00558_),
+    .S(_00186_),
+    .X(_00567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15983_ (.A0(_00567_),
+    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
+    .S(_02837_),
+    .X(_00568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15984_ (.A0(_00568_),
+    .A1(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
+    .S(_00185_),
+    .X(_00569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15985_ (.A0(_00569_),
+    .A1(_00557_),
+    .S(_00242_),
+    .X(_00570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15986_ (.A0(_00570_),
+    .A1(_00557_),
+    .S(_00247_),
+    .X(_00571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15987_ (.A0(_00587_),
+    .A1(_00588_),
+    .S(_00246_),
+    .X(_00589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15988_ (.A0(_00548_),
+    .A1(_00624_),
+    .S(_00184_),
+    .X(_00625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15989_ (.A0(_00563_),
+    .A1(_00626_),
+    .S(_00184_),
+    .X(_00627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15990_ (.A0(_00590_),
+    .A1(_00591_),
+    .S(_00246_),
+    .X(_00592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15991_ (.A0(_00576_),
+    .A1(_00575_),
+    .S(_00473_),
+    .X(_00577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _15992_ (.A0(_00227_),
+    .A1(_00225_),
+    .S(_00226_),
+    .X(_00034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15993_ (.A0(_00261_),
+    .A1(_00260_),
+    .S(\u_uart_core.tx_fifo_rd ),
+    .X(_00031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15994_ (.A0(_00275_),
+    .A1(_00274_),
+    .S(\u_uart_core.tx_fifo_wr_en ),
+    .X(_00030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15995_ (.A0(_00298_),
+    .A1(_00297_),
+    .S(\u_uart_core.rx_fifo_wr ),
+    .X(_00032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15996_ (.A0(_00312_),
+    .A1(_00311_),
+    .S(\u_uart_core.app_rxfifo_rd_en ),
+    .X(_00033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15997_ (.A0(_00174_),
+    .A1(_00360_),
+    .S(_00359_),
+    .X(_00123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15998_ (.A0(_00179_),
+    .A1(_00361_),
+    .S(_00175_),
+    .X(_00121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _15999_ (.A0(_00180_),
+    .A1(_00363_),
+    .S(_00362_),
+    .X(_00122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16000_ (.A0(_00365_),
+    .A1(_00364_),
+    .S(_00181_),
+    .X(_00120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16001_ (.A0(_00182_),
+    .A1(_00367_),
+    .S(_00366_),
+    .X(_00118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16002_ (.A0(_00176_),
+    .A1(_00368_),
+    .S(_00183_),
+    .X(_00116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16003_ (.A0(_00177_),
+    .A1(_00370_),
+    .S(_00369_),
+    .X(_00117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16004_ (.A0(_00372_),
+    .A1(_00371_),
+    .S(_00178_),
+    .X(_00115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16005_ (.A0(_00373_),
+    .A1(_00374_),
+    .S(_00313_),
+    .X(_00104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16006_ (.A0(_00376_),
+    .A1(_00375_),
+    .S(_00313_),
+    .X(_00106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16007_ (.A0(_00378_),
+    .A1(_00377_),
+    .S(_00313_),
+    .X(_00107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16008_ (.A0(_00380_),
+    .A1(_00379_),
+    .S(_00313_),
+    .X(_00108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16009_ (.A0(_00382_),
+    .A1(_00381_),
+    .S(_00313_),
+    .X(_00109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16010_ (.A0(_00384_),
+    .A1(_00383_),
+    .S(_00313_),
+    .X(_00110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16011_ (.A0(_00386_),
+    .A1(_00385_),
+    .S(_00313_),
+    .X(_00111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16012_ (.A0(_00388_),
+    .A1(_00387_),
+    .S(_00313_),
+    .X(_00112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16013_ (.A0(_00390_),
+    .A1(_00389_),
+    .S(_00313_),
+    .X(_00113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16014_ (.A0(_00392_),
+    .A1(_00391_),
+    .S(_00313_),
+    .X(_00114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16015_ (.A0(_00394_),
+    .A1(_00393_),
+    .S(_00313_),
+    .X(_00105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16016_ (.A0(_00395_),
+    .A1(_00396_),
+    .S(_00228_),
+    .X(_00092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16017_ (.A0(_00398_),
+    .A1(_00397_),
+    .S(_00228_),
+    .X(_00094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16018_ (.A0(_00400_),
+    .A1(_00399_),
+    .S(_00228_),
+    .X(_00095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16019_ (.A0(_00402_),
+    .A1(_00401_),
+    .S(_00228_),
+    .X(_00096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16020_ (.A0(_00404_),
+    .A1(_00403_),
+    .S(_00228_),
+    .X(_00097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16021_ (.A0(_00406_),
+    .A1(_00405_),
+    .S(_00228_),
+    .X(_00098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16022_ (.A0(_00408_),
+    .A1(_00407_),
+    .S(_00228_),
+    .X(_00099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16023_ (.A0(_00410_),
+    .A1(_00409_),
+    .S(_00228_),
+    .X(_00100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16024_ (.A0(_00412_),
+    .A1(_00411_),
+    .S(_00228_),
+    .X(_00101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16025_ (.A0(_00414_),
+    .A1(_00413_),
+    .S(_00228_),
+    .X(_00102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16026_ (.A0(_00416_),
+    .A1(_00415_),
+    .S(_00228_),
+    .X(_00093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16027_ (.A0(\u_i2cm.u_byte_ctrl.core_rxd ),
+    .A1(\u_i2cm.txr[0] ),
+    .S(\u_i2cm.u_byte_ctrl.ld ),
+    .X(_00045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16028_ (.A0(\u_i2cm.rxr[0] ),
+    .A1(\u_i2cm.txr[1] ),
+    .S(\u_i2cm.u_byte_ctrl.ld ),
+    .X(_00046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16029_ (.A0(\u_i2cm.rxr[1] ),
+    .A1(\u_i2cm.txr[2] ),
+    .S(\u_i2cm.u_byte_ctrl.ld ),
+    .X(_00047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16030_ (.A0(\u_i2cm.rxr[2] ),
+    .A1(\u_i2cm.txr[3] ),
+    .S(\u_i2cm.u_byte_ctrl.ld ),
+    .X(_00048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16031_ (.A0(\u_i2cm.rxr[3] ),
+    .A1(\u_i2cm.txr[4] ),
+    .S(\u_i2cm.u_byte_ctrl.ld ),
+    .X(_00049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16032_ (.A0(\u_i2cm.rxr[4] ),
+    .A1(\u_i2cm.txr[5] ),
+    .S(\u_i2cm.u_byte_ctrl.ld ),
+    .X(_00050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16033_ (.A0(\u_i2cm.rxr[5] ),
+    .A1(\u_i2cm.txr[6] ),
+    .S(\u_i2cm.u_byte_ctrl.ld ),
+    .X(_00051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16034_ (.A0(\u_i2cm.rxr[6] ),
+    .A1(\u_i2cm.txr[7] ),
+    .S(\u_i2cm.u_byte_ctrl.ld ),
+    .X(_00052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16035_ (.A0(_00421_),
+    .A1(\u_i2cm.prer[0] ),
+    .S(_00036_),
+    .X(_00056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16036_ (.A0(_00422_),
+    .A1(\u_i2cm.prer[1] ),
+    .S(_00036_),
+    .X(_00063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16037_ (.A0(_00423_),
+    .A1(\u_i2cm.prer[2] ),
+    .S(_00036_),
+    .X(_00064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16038_ (.A0(_00424_),
+    .A1(\u_i2cm.prer[3] ),
+    .S(_00036_),
+    .X(_00065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16039_ (.A0(_00425_),
+    .A1(\u_i2cm.prer[4] ),
+    .S(_00036_),
+    .X(_00066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16040_ (.A0(_00426_),
+    .A1(\u_i2cm.prer[5] ),
+    .S(_00036_),
+    .X(_00067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16041_ (.A0(_00427_),
+    .A1(\u_i2cm.prer[6] ),
+    .S(_00036_),
+    .X(_00068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16042_ (.A0(_00428_),
+    .A1(\u_i2cm.prer[7] ),
+    .S(_00036_),
+    .X(_00069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16043_ (.A0(_00429_),
+    .A1(\u_i2cm.prer[8] ),
+    .S(_00036_),
+    .X(_00070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16044_ (.A0(_00430_),
+    .A1(\u_i2cm.prer[9] ),
+    .S(_00036_),
+    .X(_00071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16045_ (.A0(_00431_),
+    .A1(\u_i2cm.prer[10] ),
+    .S(_00036_),
+    .X(_00057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16046_ (.A0(_00432_),
+    .A1(\u_i2cm.prer[11] ),
+    .S(_00036_),
+    .X(_00058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16047_ (.A0(_00433_),
+    .A1(\u_i2cm.prer[12] ),
+    .S(_00036_),
+    .X(_00059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16048_ (.A0(_00434_),
+    .A1(\u_i2cm.prer[13] ),
+    .S(_00036_),
+    .X(_00060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16049_ (.A0(_00435_),
+    .A1(\u_i2cm.prer[14] ),
+    .S(_00036_),
+    .X(_00061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16050_ (.A0(_00436_),
+    .A1(\u_i2cm.prer[15] ),
+    .S(_00036_),
+    .X(_00062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16051_ (.A0(_00597_),
+    .A1(_00596_),
+    .S(\u_usb_host.u_core.transfer_start_q ),
+    .X(_00128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16052_ (.A0(_00598_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[0] ),
+    .S(_00276_),
+    .X(_00149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16053_ (.A0(_00599_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[1] ),
+    .S(_00276_),
+    .X(_00156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16054_ (.A0(_00600_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[2] ),
+    .S(_00276_),
+    .X(_00157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16055_ (.A0(_00601_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[3] ),
+    .S(_00276_),
+    .X(_00158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16056_ (.A0(_00602_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[4] ),
+    .S(_00276_),
+    .X(_00159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16057_ (.A0(_00603_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
+    .S(_00276_),
+    .X(_00160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16058_ (.A0(_00604_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
+    .S(_00276_),
+    .X(_00161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16059_ (.A0(_00605_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[7] ),
+    .S(_00276_),
+    .X(_00162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16060_ (.A0(_00606_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
+    .S(_00276_),
+    .X(_00163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16061_ (.A0(_00607_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[9] ),
+    .S(_00276_),
+    .X(_00164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16062_ (.A0(_00608_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[10] ),
+    .S(_00276_),
+    .X(_00150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16063_ (.A0(_00609_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
+    .S(_00276_),
+    .X(_00151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16064_ (.A0(_00610_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
+    .S(_00276_),
+    .X(_00152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16065_ (.A0(_00611_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[13] ),
+    .S(_00276_),
+    .X(_00153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16066_ (.A0(_00612_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[14] ),
+    .S(_00276_),
+    .X(_00154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16067_ (.A0(_00613_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[15] ),
+    .S(_00276_),
+    .X(_00155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16068_ (.A0(_00663_),
+    .A1(_00662_),
+    .S(_00245_),
+    .X(_00142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16069_ (.A0(_00664_),
+    .A1(_00665_),
+    .S(_00245_),
+    .X(_00143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16070_ (.A0(_00666_),
+    .A1(_00667_),
+    .S(_00245_),
+    .X(_00144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16071_ (.A0(_00668_),
+    .A1(_00669_),
+    .S(_00245_),
+    .X(_00145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16072_ (.A0(_00670_),
+    .A1(_00671_),
+    .S(_00245_),
+    .X(_00146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16073_ (.A0(_00672_),
+    .A1(_00673_),
+    .S(_00245_),
+    .X(_00147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16074_ (.A0(_00674_),
+    .A1(_00675_),
+    .S(_00245_),
+    .X(_00148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16075_ (.A0(_00677_),
+    .A1(_00676_),
+    .S(_00241_),
+    .X(_00135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16076_ (.A0(_00678_),
+    .A1(_00679_),
+    .S(_00241_),
+    .X(_00136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16077_ (.A0(_00680_),
+    .A1(_00681_),
+    .S(_00241_),
+    .X(_00137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16078_ (.A0(_00682_),
+    .A1(_00683_),
+    .S(_00241_),
+    .X(_00138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16079_ (.A0(_00684_),
+    .A1(_00685_),
+    .S(_00241_),
+    .X(_00139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16080_ (.A0(_00686_),
+    .A1(_00687_),
+    .S(_00241_),
+    .X(_00140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16081_ (.A0(_00688_),
+    .A1(_00689_),
+    .S(_00241_),
+    .X(_00141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16082_ (.A0(_00719_),
+    .A1(_00718_),
+    .S(_00717_),
+    .X(_00124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16083_ (.A0(_00173_),
+    .A1(_00721_),
+    .S(_00720_),
+    .X(_00125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16084_ (.A0(_00213_),
+    .A1(_00212_),
+    .S(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .X(_00119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16085_ (.A0(_00740_),
+    .A1(\u_i2cm.prer[0] ),
+    .S(_00739_),
+    .X(_08082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16086_ (.A0(_00741_),
+    .A1(\u_i2cm.prer[1] ),
+    .S(_00739_),
+    .X(_08083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16087_ (.A0(_00742_),
+    .A1(\u_i2cm.prer[2] ),
+    .S(_00739_),
+    .X(_08084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16088_ (.A0(_00743_),
+    .A1(\u_i2cm.prer[3] ),
+    .S(_00739_),
+    .X(_08085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16089_ (.A0(_00744_),
+    .A1(\u_i2cm.prer[4] ),
+    .S(_00739_),
+    .X(_08086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16090_ (.A0(_00745_),
+    .A1(\u_i2cm.prer[5] ),
+    .S(_00739_),
+    .X(_08087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16091_ (.A0(_00746_),
+    .A1(\u_i2cm.prer[6] ),
+    .S(_00739_),
+    .X(_08088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16092_ (.A0(_00747_),
+    .A1(\u_i2cm.prer[7] ),
+    .S(_00739_),
+    .X(_08089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16093_ (.A0(_00199_),
+    .A1(_00203_),
+    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .X(_00204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16094_ (.A0(_00198_),
+    .A1(_00193_),
+    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .X(_00202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16095_ (.A0(_00291_),
+    .A1(_00292_),
+    .S(_00288_),
+    .X(_00293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16096_ (.A0(_00294_),
+    .A1(_00295_),
+    .S(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
+    .X(_00296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_4 _16097_ (.A0(\u_usb_host.u_async_wb.m_cmd_wr_en ),
+    .A1(_00283_),
+    .S(_00211_),
+    .X(_00284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16098_ (.A0(_00277_),
+    .A1(_00278_),
+    .S(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .X(_00279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16099_ (.A0(_00300_),
+    .A1(_00301_),
+    .S(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
+    .X(_00302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16100_ (.A0(_00299_),
+    .A1(_00303_),
+    .S(_00302_),
+    .X(_00304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16101_ (.A0(_00754_),
+    .A1(\u_uart_core.cfg_tx_enable ),
+    .S(_00748_),
+    .X(\u_uart_core.u_cfg.reg_out[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16102_ (.A0(_00760_),
+    .A1(\u_uart_core.cfg_rx_enable ),
+    .S(_00748_),
+    .X(\u_uart_core.u_cfg.reg_out[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16103_ (.A0(_00766_),
+    .A1(\u_uart_core.cfg_stop_bit ),
+    .S(_00748_),
+    .X(\u_uart_core.u_cfg.reg_out[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16104_ (.A0(_00772_),
+    .A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .S(_00748_),
+    .X(\u_uart_core.u_cfg.reg_out[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16105_ (.A0(_00778_),
+    .A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .S(_00748_),
+    .X(\u_uart_core.u_cfg.reg_out[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16106_ (.A0(_00305_),
+    .A1(_00306_),
+    .S(_00302_),
+    .X(_00307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16107_ (.A0(_00308_),
+    .A1(_00309_),
+    .S(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
+    .X(_00310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16108_ (.A0(_00286_),
+    .A1(_00287_),
+    .S(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
+    .X(_00288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16109_ (.A0(_00285_),
+    .A1(_00289_),
+    .S(_00288_),
+    .X(_00290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16110_ (.A0(_00252_),
+    .A1(_00248_),
+    .S(_00251_),
+    .X(_00253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16111_ (.A0(_00271_),
+    .A1(_00272_),
+    .S(_00265_),
+    .X(_00273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16112_ (.A0(_00262_),
+    .A1(_00266_),
+    .S(_00265_),
+    .X(_00267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16113_ (.A0(_00255_),
+    .A1(_00254_),
+    .S(_00251_),
+    .X(_00256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16114_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
+    .S(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_00351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16115_ (.A0(_00257_),
+    .A1(_00258_),
+    .S(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
+    .X(_00259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16116_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
+    .A1(\u_usb_host.u_core.sof_value_q[6] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_dev_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16117_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+    .A1(\u_usb_host.u_core.sof_value_q[5] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_dev_w[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16118_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+    .A1(\u_usb_host.u_core.sof_value_q[4] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_dev_w[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16119_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
+    .A1(\u_usb_host.u_core.sof_value_q[3] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_dev_w[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16120_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+    .A1(\u_usb_host.u_core.sof_value_q[2] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_dev_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16121_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+    .A1(\u_usb_host.u_core.sof_value_q[1] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_dev_w[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16122_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+    .A1(\u_usb_host.u_core.sof_value_q[0] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_dev_w[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16123_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+    .A1(\u_usb_host.u_core.sof_value_q[10] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_ep_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16124_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+    .A1(\u_usb_host.u_core.sof_value_q[9] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_ep_w[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16125_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+    .A1(\u_usb_host.u_core.sof_value_q[8] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_ep_w[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16126_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+    .A1(\u_usb_host.u_core.sof_value_q[7] ),
+    .S(\u_usb_host.u_core.sof_transfer_q ),
+    .X(\u_usb_host.u_core.token_ep_w[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16127_ (.A0(_00249_),
+    .A1(_00250_),
+    .S(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
+    .X(_00251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16128_ (.A0(_00205_),
+    .A1(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .S(_00190_),
+    .X(\u_usb_host.u_core.u_sie.next_state_r[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16129_ (.A0(_00224_),
+    .A1(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .S(_00190_),
+    .X(\u_usb_host.u_core.u_sie.next_state_r[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16130_ (.A0(_00197_),
+    .A1(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .S(_00190_),
+    .X(\u_usb_host.u_core.u_sie.next_state_r[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16131_ (.A0(_00210_),
+    .A1(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .S(_00190_),
+    .X(\u_usb_host.u_core.u_sie.next_state_r[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16132_ (.A0(\u_usb_host.u_phy.out_dp_q ),
+    .A1(\u_usb_host.u_phy.rx_dp_q ),
+    .S(\u_usb_host.out_tx_oen ),
+    .X(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16133_ (.A0(\u_usb_host.u_phy.out_dn_q ),
+    .A1(\u_usb_host.u_phy.rx_dn_q ),
+    .S(\u_usb_host.out_tx_oen ),
+    .X(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16134_ (.A0(_00268_),
+    .A1(_00269_),
+    .S(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
+    .X(_00270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_2 _16135_ (.A0(_00263_),
+    .A1(_00264_),
+    .S(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
+    .X(_00265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16136_ (.A0(_00490_),
+    .A1(\u_usb_host.u_core.u_sie.token_q[6] ),
+    .S(_00188_),
+    .X(_00491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16137_ (.A0(_00491_),
+    .A1(\u_usb_host.u_core.u_sie.token_q[14] ),
+    .S(_00189_),
+    .X(_00492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16138_ (.A0(_00492_),
+    .A1(_00486_),
+    .S(_00186_),
+    .X(_00493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16139_ (.A0(_00493_),
+    .A1(_00485_),
+    .S(_02837_),
+    .X(_00494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16140_ (.A0(_00494_),
+    .A1(_00484_),
+    .S(_00185_),
+    .X(_00495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16141_ (.A0(_00495_),
+    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
+    .S(_00242_),
+    .X(_00496_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16142_ (.A0(_00496_),
+    .A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
+    .S(_00247_),
+    .X(_00497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux2_1 _16143_ (.A0(_00199_),
+    .A1(_00200_),
+    .S(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .X(_00201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16144_ (.A0(\u_uart_core.u_rxfifo.mem[0][7] ),
     .A1(\u_uart_core.u_rxfifo.mem[1][7] ),
     .A2(\u_uart_core.u_rxfifo.mem[2][7] ),
     .A3(\u_uart_core.u_rxfifo.mem[3][7] ),
@@ -247659,7 +243647,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17124_ (.A0(\u_uart_core.u_rxfifo.mem[4][7] ),
+ sky130_fd_sc_hd__mux4_1 _16145_ (.A0(\u_uart_core.u_rxfifo.mem[4][7] ),
     .A1(\u_uart_core.u_rxfifo.mem[5][7] ),
     .A2(\u_uart_core.u_rxfifo.mem[6][7] ),
     .A3(\u_uart_core.u_rxfifo.mem[7][7] ),
@@ -247670,7 +243658,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17125_ (.A0(\u_uart_core.u_rxfifo.mem[8][7] ),
+ sky130_fd_sc_hd__mux4_1 _16146_ (.A0(\u_uart_core.u_rxfifo.mem[8][7] ),
     .A1(\u_uart_core.u_rxfifo.mem[9][7] ),
     .A2(\u_uart_core.u_rxfifo.mem[10][7] ),
     .A3(\u_uart_core.u_rxfifo.mem[11][7] ),
@@ -247681,7 +243669,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17126_ (.A0(\u_uart_core.u_rxfifo.mem[12][7] ),
+ sky130_fd_sc_hd__mux4_1 _16147_ (.A0(\u_uart_core.u_rxfifo.mem[12][7] ),
     .A1(\u_uart_core.u_rxfifo.mem[13][7] ),
     .A2(\u_uart_core.u_rxfifo.mem[14][7] ),
     .A3(\u_uart_core.u_rxfifo.mem[15][7] ),
@@ -247692,7 +243680,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17127_ (.A0(_00789_),
+ sky130_fd_sc_hd__mux4_2 _16148_ (.A0(_00789_),
     .A1(_00790_),
     .A2(_00791_),
     .A3(_00792_),
@@ -247703,293 +243691,62 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17128_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17129_ (.A0(\u_uart_core.u_rxfifo.mem[0][3] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][3] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][3] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][3] ),
+ sky130_fd_sc_hd__mux4_2 _16149_ (.A0(\u_uart_core.u_rxfifo.mem[0][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][6] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][6] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][6] ),
     .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00767_),
+    .X(_00784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17130_ (.A0(\u_uart_core.u_rxfifo.mem[4][3] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][3] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][3] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][3] ),
+ sky130_fd_sc_hd__mux4_1 _16150_ (.A0(\u_uart_core.u_rxfifo.mem[4][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][6] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][6] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][6] ),
     .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00768_),
+    .X(_00785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17131_ (.A0(\u_uart_core.u_rxfifo.mem[8][3] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][3] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][3] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][3] ),
+ sky130_fd_sc_hd__mux4_1 _16151_ (.A0(\u_uart_core.u_rxfifo.mem[8][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][6] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][6] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][6] ),
     .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00769_),
+    .X(_00786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17132_ (.A0(\u_uart_core.u_rxfifo.mem[12][3] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][3] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][3] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][3] ),
+ sky130_fd_sc_hd__mux4_1 _16152_ (.A0(\u_uart_core.u_rxfifo.mem[12][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][6] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][6] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][6] ),
     .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00770_),
+    .X(_00787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17133_ (.A0(_00767_),
-    .A1(_00768_),
-    .A2(_00769_),
-    .A3(_00770_),
+ sky130_fd_sc_hd__mux4_2 _16153_ (.A0(_00784_),
+    .A1(_00785_),
+    .A2(_00786_),
+    .A3(_00787_),
     .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .X(_00771_),
+    .X(_00788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17134_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00699_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17135_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00700_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17136_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00701_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17137_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00702_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17138_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17139_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00704_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17140_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00705_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17141_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17142_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17143_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
-    .A3(net164),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00708_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17144_ (.A0(net161),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17145_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
-    .A2(net158),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17146_ (.A0(net155),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17147_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17148_ (.A0(\u_uart_core.u_rxfifo.mem[0][4] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][4] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][4] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][4] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17149_ (.A0(\u_uart_core.u_rxfifo.mem[4][4] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][4] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][4] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][4] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00774_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17150_ (.A0(\u_uart_core.u_rxfifo.mem[8][4] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][4] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][4] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][4] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00775_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17151_ (.A0(\u_uart_core.u_rxfifo.mem[12][4] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][4] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][4] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][4] ),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .X(_00776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17152_ (.A0(_00773_),
-    .A1(_00774_),
-    .A2(_00775_),
-    .A3(_00776_),
-    .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .X(_00777_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17153_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
-    .A3(net152),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17154_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
+ sky130_fd_sc_hd__mux4_2 _16154_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
     .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ),
     .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ),
@@ -248000,9 +243757,9 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17155_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
+ sky130_fd_sc_hd__mux4_2 _16155_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
     .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
+    .A2(net103),
     .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ),
     .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
@@ -248011,29 +243768,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17156_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
+ sky130_fd_sc_hd__mux4_2 _16156_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
+    .A2(net109),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
     .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00806_),
+    .X(_00716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17157_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17158_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
+ sky130_fd_sc_hd__mux4_2 _16157_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
     .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][40] ),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][40] ),
     .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][40] ),
@@ -248044,18 +243790,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17159_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
+ sky130_fd_sc_hd__mux4_2 _16158_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ),
     .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .X(_00237_),
+    .X(_00239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17160_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
+ sky130_fd_sc_hd__mux4_2 _16159_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
     .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][42] ),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][42] ),
     .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][42] ),
@@ -248066,447 +243812,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17161_ (.A0(\u_uart_core.u_txfifo.mem[0][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][0] ),
-    .A2(\u_uart_core.u_txfifo.mem[2][0] ),
-    .A3(\u_uart_core.u_txfifo.mem[3][0] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17162_ (.A0(\u_uart_core.u_txfifo.mem[4][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][0] ),
-    .A2(\u_uart_core.u_txfifo.mem[6][0] ),
-    .A3(\u_uart_core.u_txfifo.mem[7][0] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17163_ (.A0(\u_uart_core.u_txfifo.mem[8][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][0] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][0] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][0] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17164_ (.A0(\u_uart_core.u_txfifo.mem[12][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][0] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][0] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][0] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17165_ (.A0(_00630_),
-    .A1(_00631_),
-    .A2(_00632_),
-    .A3(_00633_),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(\u_uart_core.tx_fifo_rd_data[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17166_ (.A0(\u_uart_core.u_txfifo.mem[0][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][1] ),
-    .A2(\u_uart_core.u_txfifo.mem[2][1] ),
-    .A3(\u_uart_core.u_txfifo.mem[3][1] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17167_ (.A0(\u_uart_core.u_txfifo.mem[4][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][1] ),
-    .A2(\u_uart_core.u_txfifo.mem[6][1] ),
-    .A3(\u_uart_core.u_txfifo.mem[7][1] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17168_ (.A0(\u_uart_core.u_txfifo.mem[8][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][1] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][1] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][1] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17169_ (.A0(\u_uart_core.u_txfifo.mem[12][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][1] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][1] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][1] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17170_ (.A0(_00634_),
-    .A1(_00635_),
-    .A2(_00636_),
-    .A3(_00637_),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(\u_uart_core.tx_fifo_rd_data[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17171_ (.A0(\u_uart_core.u_txfifo.mem[0][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][2] ),
-    .A2(\u_uart_core.u_txfifo.mem[2][2] ),
-    .A3(\u_uart_core.u_txfifo.mem[3][2] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17172_ (.A0(\u_uart_core.u_txfifo.mem[4][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][2] ),
-    .A2(\u_uart_core.u_txfifo.mem[6][2] ),
-    .A3(\u_uart_core.u_txfifo.mem[7][2] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17173_ (.A0(\u_uart_core.u_txfifo.mem[8][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][2] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][2] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][2] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17174_ (.A0(\u_uart_core.u_txfifo.mem[12][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][2] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][2] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][2] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17175_ (.A0(_00638_),
-    .A1(_00639_),
-    .A2(_00640_),
-    .A3(_00641_),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(\u_uart_core.tx_fifo_rd_data[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17176_ (.A0(\u_uart_core.u_txfifo.mem[0][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][3] ),
-    .A2(\u_uart_core.u_txfifo.mem[2][3] ),
-    .A3(\u_uart_core.u_txfifo.mem[3][3] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17177_ (.A0(\u_uart_core.u_txfifo.mem[4][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][3] ),
-    .A2(\u_uart_core.u_txfifo.mem[6][3] ),
-    .A3(\u_uart_core.u_txfifo.mem[7][3] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17178_ (.A0(\u_uart_core.u_txfifo.mem[8][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][3] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][3] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][3] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17179_ (.A0(\u_uart_core.u_txfifo.mem[12][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][3] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][3] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][3] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17180_ (.A0(_00642_),
-    .A1(_00643_),
-    .A2(_00644_),
-    .A3(_00645_),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(\u_uart_core.tx_fifo_rd_data[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17181_ (.A0(\u_uart_core.u_txfifo.mem[0][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][4] ),
-    .A2(\u_uart_core.u_txfifo.mem[2][4] ),
-    .A3(\u_uart_core.u_txfifo.mem[3][4] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17182_ (.A0(\u_uart_core.u_txfifo.mem[4][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][4] ),
-    .A2(\u_uart_core.u_txfifo.mem[6][4] ),
-    .A3(\u_uart_core.u_txfifo.mem[7][4] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17183_ (.A0(\u_uart_core.u_txfifo.mem[8][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][4] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][4] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][4] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17184_ (.A0(\u_uart_core.u_txfifo.mem[12][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][4] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][4] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][4] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17185_ (.A0(_00646_),
-    .A1(_00647_),
-    .A2(_00648_),
-    .A3(_00649_),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(\u_uart_core.tx_fifo_rd_data[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17186_ (.A0(\u_uart_core.u_txfifo.mem[0][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][5] ),
-    .A2(\u_uart_core.u_txfifo.mem[2][5] ),
-    .A3(\u_uart_core.u_txfifo.mem[3][5] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00650_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17187_ (.A0(\u_uart_core.u_txfifo.mem[4][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][5] ),
-    .A2(\u_uart_core.u_txfifo.mem[6][5] ),
-    .A3(\u_uart_core.u_txfifo.mem[7][5] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17188_ (.A0(\u_uart_core.u_txfifo.mem[8][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][5] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][5] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][5] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17189_ (.A0(\u_uart_core.u_txfifo.mem[12][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][5] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][5] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][5] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17190_ (.A0(_00650_),
-    .A1(_00651_),
-    .A2(_00652_),
-    .A3(_00653_),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(\u_uart_core.tx_fifo_rd_data[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17191_ (.A0(\u_uart_core.u_txfifo.mem[0][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][6] ),
-    .A2(\u_uart_core.u_txfifo.mem[2][6] ),
-    .A3(\u_uart_core.u_txfifo.mem[3][6] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17192_ (.A0(\u_uart_core.u_txfifo.mem[4][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][6] ),
-    .A2(\u_uart_core.u_txfifo.mem[6][6] ),
-    .A3(\u_uart_core.u_txfifo.mem[7][6] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17193_ (.A0(\u_uart_core.u_txfifo.mem[8][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][6] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][6] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][6] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17194_ (.A0(\u_uart_core.u_txfifo.mem[12][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][6] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][6] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][6] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00657_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17195_ (.A0(_00654_),
-    .A1(_00655_),
-    .A2(_00656_),
-    .A3(_00657_),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(\u_uart_core.tx_fifo_rd_data[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17196_ (.A0(\u_uart_core.u_txfifo.mem[0][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][7] ),
-    .A2(\u_uart_core.u_txfifo.mem[2][7] ),
-    .A3(\u_uart_core.u_txfifo.mem[3][7] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00658_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17197_ (.A0(\u_uart_core.u_txfifo.mem[4][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][7] ),
-    .A2(\u_uart_core.u_txfifo.mem[6][7] ),
-    .A3(\u_uart_core.u_txfifo.mem[7][7] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17198_ (.A0(\u_uart_core.u_txfifo.mem[8][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][7] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][7] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][7] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17199_ (.A0(\u_uart_core.u_txfifo.mem[12][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][7] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][7] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][7] ),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .X(_00661_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17200_ (.A0(_00658_),
-    .A1(_00659_),
-    .A2(_00660_),
-    .A3(_00661_),
-    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
-    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .X(\u_uart_core.tx_fifo_rd_data[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17201_ (.A0(\u_uart_core.u_rxfifo.mem[0][1] ),
+ sky130_fd_sc_hd__mux4_1 _16160_ (.A0(\u_uart_core.u_rxfifo.mem[0][1] ),
     .A1(\u_uart_core.u_rxfifo.mem[1][1] ),
     .A2(\u_uart_core.u_rxfifo.mem[2][1] ),
     .A3(\u_uart_core.u_rxfifo.mem[3][1] ),
@@ -248517,7 +243823,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17202_ (.A0(\u_uart_core.u_rxfifo.mem[4][1] ),
+ sky130_fd_sc_hd__mux4_1 _16161_ (.A0(\u_uart_core.u_rxfifo.mem[4][1] ),
     .A1(\u_uart_core.u_rxfifo.mem[5][1] ),
     .A2(\u_uart_core.u_rxfifo.mem[6][1] ),
     .A3(\u_uart_core.u_rxfifo.mem[7][1] ),
@@ -248528,7 +243834,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17203_ (.A0(\u_uart_core.u_rxfifo.mem[8][1] ),
+ sky130_fd_sc_hd__mux4_2 _16162_ (.A0(\u_uart_core.u_rxfifo.mem[8][1] ),
     .A1(\u_uart_core.u_rxfifo.mem[9][1] ),
     .A2(\u_uart_core.u_rxfifo.mem[10][1] ),
     .A3(\u_uart_core.u_rxfifo.mem[11][1] ),
@@ -248539,7 +243845,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17204_ (.A0(\u_uart_core.u_rxfifo.mem[12][1] ),
+ sky130_fd_sc_hd__mux4_1 _16163_ (.A0(\u_uart_core.u_rxfifo.mem[12][1] ),
     .A1(\u_uart_core.u_rxfifo.mem[13][1] ),
     .A2(\u_uart_core.u_rxfifo.mem[14][1] ),
     .A3(\u_uart_core.u_rxfifo.mem[15][1] ),
@@ -248550,7 +243856,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17205_ (.A0(_00755_),
+ sky130_fd_sc_hd__mux4_1 _16164_ (.A0(_00755_),
     .A1(_00756_),
     .A2(_00757_),
     .A3(_00758_),
@@ -248561,7 +243867,502 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17206_ (.A0(\u_uart_core.u_rxfifo.mem[0][0] ),
+ sky130_fd_sc_hd__mux4_1 _16165_ (.A0(\u_uart_core.u_rxfifo.mem[0][3] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][3] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][3] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][3] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16166_ (.A0(\u_uart_core.u_rxfifo.mem[4][3] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][3] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][3] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][3] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16167_ (.A0(\u_uart_core.u_rxfifo.mem[8][3] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][3] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][3] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][3] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16168_ (.A0(\u_uart_core.u_rxfifo.mem[12][3] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][3] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][3] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][3] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16169_ (.A0(_00767_),
+    .A1(_00768_),
+    .A2(_00769_),
+    .A3(_00770_),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+    .X(_00771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16170_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16171_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16172_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16173_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16174_ (.A0(\u_uart_core.u_txfsm.txdata[0] ),
+    .A1(\u_uart_core.u_txfsm.txdata[1] ),
+    .A2(\u_uart_core.u_txfsm.txdata[2] ),
+    .A3(\u_uart_core.u_txfsm.txdata[3] ),
+    .S0(\u_uart_core.u_txfsm.cnt[0] ),
+    .S1(\u_uart_core.u_txfsm.cnt[1] ),
+    .X(_00794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16175_ (.A0(\u_uart_core.u_txfsm.txdata[4] ),
+    .A1(\u_uart_core.u_txfsm.txdata[5] ),
+    .A2(\u_uart_core.u_txfsm.txdata[6] ),
+    .A3(\u_uart_core.u_txfsm.txdata[7] ),
+    .S0(\u_uart_core.u_txfsm.cnt[0] ),
+    .S1(\u_uart_core.u_txfsm.cnt[1] ),
+    .X(_00795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16176_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16177_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16178_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16179_ (.A0(\u_uart_core.u_rxfifo.mem[0][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][4] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][4] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][4] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16180_ (.A0(\u_uart_core.u_rxfifo.mem[4][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][4] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][4] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][4] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16181_ (.A0(\u_uart_core.u_rxfifo.mem[8][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][4] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][4] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][4] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16182_ (.A0(\u_uart_core.u_rxfifo.mem[12][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][4] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][4] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][4] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16183_ (.A0(_00773_),
+    .A1(_00774_),
+    .A2(_00775_),
+    .A3(_00776_),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+    .X(_00777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16184_ (.A0(\u_uart_core.u_rxfifo.mem[0][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][5] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][5] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][5] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16185_ (.A0(\u_uart_core.u_rxfifo.mem[4][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][5] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][5] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][5] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16186_ (.A0(\u_uart_core.u_rxfifo.mem[8][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][5] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][5] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][5] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16187_ (.A0(\u_uart_core.u_rxfifo.mem[12][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][5] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][5] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][5] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16188_ (.A0(_00779_),
+    .A1(_00780_),
+    .A2(_00781_),
+    .A3(_00782_),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+    .X(_00783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16189_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16190_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16191_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
+    .A2(net130),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16192_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16193_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16194_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16195_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16196_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16197_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16198_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16199_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
+    .A3(net117),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16200_ (.A0(\u_uart_core.u_rxfifo.mem[0][2] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][2] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][2] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][2] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16201_ (.A0(\u_uart_core.u_rxfifo.mem[4][2] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][2] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][2] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][2] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16202_ (.A0(\u_uart_core.u_rxfifo.mem[8][2] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][2] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][2] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][2] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16203_ (.A0(\u_uart_core.u_rxfifo.mem[12][2] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][2] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][2] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][2] ),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16204_ (.A0(_00761_),
+    .A1(_00762_),
+    .A2(_00763_),
+    .A3(_00764_),
+    .S0(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+    .X(_00765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16205_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16206_ (.A0(net112),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16207_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16208_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16209_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16210_ (.A0(\u_uart_core.u_rxfifo.mem[0][0] ),
     .A1(\u_uart_core.u_rxfifo.mem[1][0] ),
     .A2(\u_uart_core.u_rxfifo.mem[2][0] ),
     .A3(\u_uart_core.u_rxfifo.mem[3][0] ),
@@ -248572,7 +244373,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17207_ (.A0(\u_uart_core.u_rxfifo.mem[4][0] ),
+ sky130_fd_sc_hd__mux4_1 _16211_ (.A0(\u_uart_core.u_rxfifo.mem[4][0] ),
     .A1(\u_uart_core.u_rxfifo.mem[5][0] ),
     .A2(\u_uart_core.u_rxfifo.mem[6][0] ),
     .A3(\u_uart_core.u_rxfifo.mem[7][0] ),
@@ -248583,7 +244384,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17208_ (.A0(\u_uart_core.u_rxfifo.mem[8][0] ),
+ sky130_fd_sc_hd__mux4_2 _16212_ (.A0(\u_uart_core.u_rxfifo.mem[8][0] ),
     .A1(\u_uart_core.u_rxfifo.mem[9][0] ),
     .A2(\u_uart_core.u_rxfifo.mem[10][0] ),
     .A3(\u_uart_core.u_rxfifo.mem[11][0] ),
@@ -248594,7 +244395,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_1 _17209_ (.A0(\u_uart_core.u_rxfifo.mem[12][0] ),
+ sky130_fd_sc_hd__mux4_1 _16213_ (.A0(\u_uart_core.u_rxfifo.mem[12][0] ),
     .A1(\u_uart_core.u_rxfifo.mem[13][0] ),
     .A2(\u_uart_core.u_rxfifo.mem[14][0] ),
     .A3(\u_uart_core.u_rxfifo.mem[15][0] ),
@@ -248605,7 +244406,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux4_2 _17210_ (.A0(_00749_),
+ sky130_fd_sc_hd__mux4_2 _16214_ (.A0(_00749_),
     .A1(_00750_),
     .A2(_00751_),
     .A3(_00752_),
@@ -248616,10432 +244417,10916 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _17211_ (.D(_00816_),
+ sky130_fd_sc_hd__mux4_2 _16215_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16216_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16217_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16218_ (.A0(net128),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
+    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_00708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16219_ (.A0(\u_uart_core.u_txfifo.mem[0][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][0] ),
+    .A2(\u_uart_core.u_txfifo.mem[2][0] ),
+    .A3(\u_uart_core.u_txfifo.mem[3][0] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16220_ (.A0(\u_uart_core.u_txfifo.mem[4][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][0] ),
+    .A2(\u_uart_core.u_txfifo.mem[6][0] ),
+    .A3(\u_uart_core.u_txfifo.mem[7][0] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16221_ (.A0(\u_uart_core.u_txfifo.mem[8][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][0] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][0] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][0] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16222_ (.A0(\u_uart_core.u_txfifo.mem[12][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][0] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][0] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][0] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16223_ (.A0(_00630_),
+    .A1(_00631_),
+    .A2(_00632_),
+    .A3(_00633_),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .X(\u_uart_core.tx_fifo_rd_data[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16224_ (.A0(\u_uart_core.u_txfifo.mem[0][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][1] ),
+    .A2(\u_uart_core.u_txfifo.mem[2][1] ),
+    .A3(\u_uart_core.u_txfifo.mem[3][1] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16225_ (.A0(\u_uart_core.u_txfifo.mem[4][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][1] ),
+    .A2(\u_uart_core.u_txfifo.mem[6][1] ),
+    .A3(\u_uart_core.u_txfifo.mem[7][1] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16226_ (.A0(\u_uart_core.u_txfifo.mem[8][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][1] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][1] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][1] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16227_ (.A0(\u_uart_core.u_txfifo.mem[12][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][1] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][1] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][1] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16228_ (.A0(_00634_),
+    .A1(_00635_),
+    .A2(_00636_),
+    .A3(_00637_),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .X(\u_uart_core.tx_fifo_rd_data[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16229_ (.A0(\u_uart_core.u_txfifo.mem[0][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][2] ),
+    .A2(\u_uart_core.u_txfifo.mem[2][2] ),
+    .A3(\u_uart_core.u_txfifo.mem[3][2] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16230_ (.A0(\u_uart_core.u_txfifo.mem[4][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][2] ),
+    .A2(\u_uart_core.u_txfifo.mem[6][2] ),
+    .A3(\u_uart_core.u_txfifo.mem[7][2] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16231_ (.A0(\u_uart_core.u_txfifo.mem[8][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][2] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][2] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][2] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16232_ (.A0(\u_uart_core.u_txfifo.mem[12][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][2] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][2] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][2] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16233_ (.A0(_00638_),
+    .A1(_00639_),
+    .A2(_00640_),
+    .A3(_00641_),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .X(\u_uart_core.tx_fifo_rd_data[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16234_ (.A0(\u_uart_core.u_txfifo.mem[0][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][3] ),
+    .A2(\u_uart_core.u_txfifo.mem[2][3] ),
+    .A3(\u_uart_core.u_txfifo.mem[3][3] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16235_ (.A0(\u_uart_core.u_txfifo.mem[4][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][3] ),
+    .A2(\u_uart_core.u_txfifo.mem[6][3] ),
+    .A3(\u_uart_core.u_txfifo.mem[7][3] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16236_ (.A0(\u_uart_core.u_txfifo.mem[8][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][3] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][3] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][3] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16237_ (.A0(\u_uart_core.u_txfifo.mem[12][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][3] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][3] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][3] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16238_ (.A0(_00642_),
+    .A1(_00643_),
+    .A2(_00644_),
+    .A3(_00645_),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .X(\u_uart_core.tx_fifo_rd_data[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16239_ (.A0(\u_uart_core.u_txfifo.mem[0][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][4] ),
+    .A2(\u_uart_core.u_txfifo.mem[2][4] ),
+    .A3(\u_uart_core.u_txfifo.mem[3][4] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16240_ (.A0(\u_uart_core.u_txfifo.mem[4][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][4] ),
+    .A2(\u_uart_core.u_txfifo.mem[6][4] ),
+    .A3(\u_uart_core.u_txfifo.mem[7][4] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16241_ (.A0(\u_uart_core.u_txfifo.mem[8][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][4] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][4] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][4] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16242_ (.A0(\u_uart_core.u_txfifo.mem[12][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][4] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][4] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][4] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16243_ (.A0(_00646_),
+    .A1(_00647_),
+    .A2(_00648_),
+    .A3(_00649_),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .X(\u_uart_core.tx_fifo_rd_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16244_ (.A0(\u_uart_core.u_txfifo.mem[0][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][5] ),
+    .A2(\u_uart_core.u_txfifo.mem[2][5] ),
+    .A3(\u_uart_core.u_txfifo.mem[3][5] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16245_ (.A0(\u_uart_core.u_txfifo.mem[4][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][5] ),
+    .A2(\u_uart_core.u_txfifo.mem[6][5] ),
+    .A3(\u_uart_core.u_txfifo.mem[7][5] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16246_ (.A0(\u_uart_core.u_txfifo.mem[8][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][5] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][5] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][5] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16247_ (.A0(\u_uart_core.u_txfifo.mem[12][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][5] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][5] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][5] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16248_ (.A0(_00650_),
+    .A1(_00651_),
+    .A2(_00652_),
+    .A3(_00653_),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .X(\u_uart_core.tx_fifo_rd_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16249_ (.A0(\u_uart_core.u_txfifo.mem[0][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][6] ),
+    .A2(\u_uart_core.u_txfifo.mem[2][6] ),
+    .A3(\u_uart_core.u_txfifo.mem[3][6] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16250_ (.A0(\u_uart_core.u_txfifo.mem[4][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][6] ),
+    .A2(\u_uart_core.u_txfifo.mem[6][6] ),
+    .A3(\u_uart_core.u_txfifo.mem[7][6] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16251_ (.A0(\u_uart_core.u_txfifo.mem[8][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][6] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][6] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][6] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16252_ (.A0(\u_uart_core.u_txfifo.mem[12][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][6] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][6] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][6] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16253_ (.A0(_00654_),
+    .A1(_00655_),
+    .A2(_00656_),
+    .A3(_00657_),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .X(\u_uart_core.tx_fifo_rd_data[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16254_ (.A0(\u_uart_core.u_txfifo.mem[0][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][7] ),
+    .A2(\u_uart_core.u_txfifo.mem[2][7] ),
+    .A3(\u_uart_core.u_txfifo.mem[3][7] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16255_ (.A0(\u_uart_core.u_txfifo.mem[4][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][7] ),
+    .A2(\u_uart_core.u_txfifo.mem[6][7] ),
+    .A3(\u_uart_core.u_txfifo.mem[7][7] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16256_ (.A0(\u_uart_core.u_txfifo.mem[8][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][7] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][7] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][7] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_1 _16257_ (.A0(\u_uart_core.u_txfifo.mem[12][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][7] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][7] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][7] ),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[0] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__mux4_2 _16258_ (.A0(_00658_),
+    .A1(_00659_),
+    .A2(_00660_),
+    .A3(_00661_),
+    .S0(\u_uart_core.u_txfifo.rd_ptr[2] ),
+    .S1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .X(\u_uart_core.tx_fifo_rd_data[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _16259_ (.D(_00816_),
     .Q(\u_usb_host.u_phy.state_q[12] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_19_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_12_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _17212_ (.D(_00817_),
+ sky130_fd_sc_hd__dfrtp_1 _16260_ (.D(_00817_),
     .Q(\u_usb_host.u_phy.state_q[3] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_19_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_10_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17213_ (.D(\u_i2cm.scl_padoen_o ),
+ sky130_fd_sc_hd__dfxtp_1 _16261_ (.D(\u_i2cm.scl_padoen_o ),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dscl_oen ),
-    .CLK(clknet_leaf_24_app_clk),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17214_ (.D(_00040_),
+ sky130_fd_sc_hd__dfxtp_1 _16262_ (.D(_00040_),
     .Q(\u_i2cm.wb_ack_o ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17215_ (.D(_08558_),
+ sky130_fd_sc_hd__dfxtp_1 _16263_ (.D(_08082_),
     .Q(\u_i2cm.wb_dat_o[0] ),
-    .CLK(clknet_leaf_16_app_clk),
+    .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17216_ (.D(_08559_),
+ sky130_fd_sc_hd__dfxtp_1 _16264_ (.D(_08083_),
     .Q(\u_i2cm.wb_dat_o[1] ),
-    .CLK(clknet_leaf_19_app_clk),
+    .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17217_ (.D(_08560_),
+ sky130_fd_sc_hd__dfxtp_1 _16265_ (.D(_08084_),
     .Q(\u_i2cm.wb_dat_o[2] ),
-    .CLK(clknet_leaf_16_app_clk),
+    .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17218_ (.D(_08561_),
+ sky130_fd_sc_hd__dfxtp_1 _16266_ (.D(_08085_),
     .Q(\u_i2cm.wb_dat_o[3] ),
-    .CLK(clknet_leaf_19_app_clk),
+    .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17219_ (.D(_08562_),
+ sky130_fd_sc_hd__dfxtp_1 _16267_ (.D(_08086_),
     .Q(\u_i2cm.wb_dat_o[4] ),
-    .CLK(clknet_leaf_19_app_clk),
+    .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17220_ (.D(_08563_),
+ sky130_fd_sc_hd__dfxtp_1 _16268_ (.D(_08087_),
     .Q(\u_i2cm.wb_dat_o[5] ),
-    .CLK(clknet_leaf_19_app_clk),
+    .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17221_ (.D(_08564_),
+ sky130_fd_sc_hd__dfxtp_1 _16269_ (.D(_08088_),
     .Q(\u_i2cm.wb_dat_o[6] ),
-    .CLK(clknet_leaf_16_app_clk),
+    .CLK(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17222_ (.D(_08565_),
+ sky130_fd_sc_hd__dfxtp_1 _16270_ (.D(_08089_),
     .Q(\u_i2cm.wb_dat_o[7] ),
-    .CLK(clknet_leaf_19_app_clk),
+    .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17223_ (.D(_00818_),
-    .Q(\u_uart_core.u_rxfifo.mem[13][0] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17224_ (.D(_00819_),
-    .Q(\u_uart_core.u_rxfifo.mem[13][1] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17225_ (.D(_00820_),
-    .Q(\u_uart_core.u_rxfifo.mem[13][2] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17226_ (.D(_00821_),
-    .Q(\u_uart_core.u_rxfifo.mem[13][3] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17227_ (.D(_00822_),
-    .Q(\u_uart_core.u_rxfifo.mem[13][4] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17228_ (.D(_00823_),
-    .Q(\u_uart_core.u_rxfifo.mem[13][5] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17229_ (.D(_00824_),
-    .Q(\u_uart_core.u_rxfifo.mem[13][6] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17230_ (.D(_00825_),
-    .Q(\u_uart_core.u_rxfifo.mem[13][7] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17231_ (.D(_00826_),
-    .Q(\u_uart_core.u_rxfifo.mem[14][0] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17232_ (.D(_00827_),
-    .Q(\u_uart_core.u_rxfifo.mem[14][1] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17233_ (.D(_00828_),
-    .Q(\u_uart_core.u_rxfifo.mem[14][2] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17234_ (.D(_00829_),
-    .Q(\u_uart_core.u_rxfifo.mem[14][3] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17235_ (.D(_00830_),
-    .Q(\u_uart_core.u_rxfifo.mem[14][4] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17236_ (.D(_00831_),
-    .Q(\u_uart_core.u_rxfifo.mem[14][5] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17237_ (.D(_00832_),
-    .Q(\u_uart_core.u_rxfifo.mem[14][6] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17238_ (.D(_00833_),
-    .Q(\u_uart_core.u_rxfifo.mem[14][7] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17239_ (.D(_00834_),
-    .Q(\u_uart_core.u_rxfifo.mem[10][0] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17240_ (.D(_00835_),
-    .Q(\u_uart_core.u_rxfifo.mem[10][1] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17241_ (.D(_00836_),
-    .Q(\u_uart_core.u_rxfifo.mem[10][2] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17242_ (.D(_00837_),
-    .Q(\u_uart_core.u_rxfifo.mem[10][3] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17243_ (.D(_00838_),
-    .Q(\u_uart_core.u_rxfifo.mem[10][4] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17244_ (.D(_00839_),
-    .Q(\u_uart_core.u_rxfifo.mem[10][5] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17245_ (.D(_00840_),
-    .Q(\u_uart_core.u_rxfifo.mem[10][6] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17246_ (.D(_00841_),
-    .Q(\u_uart_core.u_rxfifo.mem[10][7] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17247_ (.D(_00842_),
-    .Q(\u_uart_core.u_rxfifo.mem[11][0] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17248_ (.D(_00843_),
-    .Q(\u_uart_core.u_rxfifo.mem[11][1] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17249_ (.D(_00844_),
-    .Q(\u_uart_core.u_rxfifo.mem[11][2] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17250_ (.D(_00845_),
-    .Q(\u_uart_core.u_rxfifo.mem[11][3] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17251_ (.D(_00846_),
-    .Q(\u_uart_core.u_rxfifo.mem[11][4] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17252_ (.D(_00847_),
-    .Q(\u_uart_core.u_rxfifo.mem[11][5] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17253_ (.D(_00848_),
-    .Q(\u_uart_core.u_rxfifo.mem[11][6] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17254_ (.D(_00849_),
-    .Q(\u_uart_core.u_rxfifo.mem[11][7] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17255_ (.D(_00850_),
-    .Q(\u_uart_core.u_rxfifo.mem[12][0] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17256_ (.D(_00851_),
-    .Q(\u_uart_core.u_rxfifo.mem[12][1] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17257_ (.D(_00852_),
-    .Q(\u_uart_core.u_rxfifo.mem[12][2] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17258_ (.D(_00853_),
-    .Q(\u_uart_core.u_rxfifo.mem[12][3] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17259_ (.D(_00854_),
-    .Q(\u_uart_core.u_rxfifo.mem[12][4] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17260_ (.D(_00855_),
-    .Q(\u_uart_core.u_rxfifo.mem[12][5] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17261_ (.D(_00856_),
-    .Q(\u_uart_core.u_rxfifo.mem[12][6] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17262_ (.D(_00857_),
-    .Q(\u_uart_core.u_rxfifo.mem[12][7] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17263_ (.D(_00858_),
+ sky130_fd_sc_hd__dfxtp_1 _16271_ (.D(_00818_),
     .Q(\u_uart_core.u_rxfifo.mem[4][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17264_ (.D(_00859_),
+ sky130_fd_sc_hd__dfxtp_1 _16272_ (.D(_00819_),
     .Q(\u_uart_core.u_rxfifo.mem[4][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17265_ (.D(_00860_),
+ sky130_fd_sc_hd__dfxtp_1 _16273_ (.D(_00820_),
     .Q(\u_uart_core.u_rxfifo.mem[4][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17266_ (.D(_00861_),
+ sky130_fd_sc_hd__dfxtp_1 _16274_ (.D(_00821_),
     .Q(\u_uart_core.u_rxfifo.mem[4][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17267_ (.D(_00862_),
+ sky130_fd_sc_hd__dfxtp_1 _16275_ (.D(_00822_),
     .Q(\u_uart_core.u_rxfifo.mem[4][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17268_ (.D(_00863_),
+ sky130_fd_sc_hd__dfxtp_1 _16276_ (.D(_00823_),
     .Q(\u_uart_core.u_rxfifo.mem[4][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17269_ (.D(_00864_),
+ sky130_fd_sc_hd__dfxtp_1 _16277_ (.D(_00824_),
     .Q(\u_uart_core.u_rxfifo.mem[4][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17270_ (.D(_00865_),
+ sky130_fd_sc_hd__dfxtp_1 _16278_ (.D(_00825_),
     .Q(\u_uart_core.u_rxfifo.mem[4][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17271_ (.D(_00866_),
+ sky130_fd_sc_hd__dfxtp_1 _16279_ (.D(_00826_),
+    .Q(\u_uart_core.u_rxfifo.mem[13][0] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16280_ (.D(_00827_),
+    .Q(\u_uart_core.u_rxfifo.mem[13][1] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16281_ (.D(_00828_),
+    .Q(\u_uart_core.u_rxfifo.mem[13][2] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16282_ (.D(_00829_),
+    .Q(\u_uart_core.u_rxfifo.mem[13][3] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16283_ (.D(_00830_),
+    .Q(\u_uart_core.u_rxfifo.mem[13][4] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16284_ (.D(_00831_),
+    .Q(\u_uart_core.u_rxfifo.mem[13][5] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16285_ (.D(_00832_),
+    .Q(\u_uart_core.u_rxfifo.mem[13][6] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16286_ (.D(_00833_),
+    .Q(\u_uart_core.u_rxfifo.mem[13][7] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16287_ (.D(_00834_),
+    .Q(\u_uart_core.u_rxfifo.mem[14][0] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16288_ (.D(_00835_),
+    .Q(\u_uart_core.u_rxfifo.mem[14][1] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16289_ (.D(_00836_),
+    .Q(\u_uart_core.u_rxfifo.mem[14][2] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16290_ (.D(_00837_),
+    .Q(\u_uart_core.u_rxfifo.mem[14][3] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16291_ (.D(_00838_),
+    .Q(\u_uart_core.u_rxfifo.mem[14][4] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16292_ (.D(_00839_),
+    .Q(\u_uart_core.u_rxfifo.mem[14][5] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16293_ (.D(_00840_),
+    .Q(\u_uart_core.u_rxfifo.mem[14][6] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16294_ (.D(_00841_),
+    .Q(\u_uart_core.u_rxfifo.mem[14][7] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16295_ (.D(_00842_),
+    .Q(\u_uart_core.u_rxfifo.mem[10][0] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16296_ (.D(_00843_),
+    .Q(\u_uart_core.u_rxfifo.mem[10][1] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16297_ (.D(_00844_),
+    .Q(\u_uart_core.u_rxfifo.mem[10][2] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16298_ (.D(_00845_),
+    .Q(\u_uart_core.u_rxfifo.mem[10][3] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16299_ (.D(_00846_),
+    .Q(\u_uart_core.u_rxfifo.mem[10][4] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16300_ (.D(_00847_),
+    .Q(\u_uart_core.u_rxfifo.mem[10][5] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16301_ (.D(_00848_),
+    .Q(\u_uart_core.u_rxfifo.mem[10][6] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16302_ (.D(_00849_),
+    .Q(\u_uart_core.u_rxfifo.mem[10][7] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16303_ (.D(_00850_),
+    .Q(\u_uart_core.u_rxfifo.mem[11][0] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16304_ (.D(_00851_),
+    .Q(\u_uart_core.u_rxfifo.mem[11][1] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16305_ (.D(_00852_),
+    .Q(\u_uart_core.u_rxfifo.mem[11][2] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16306_ (.D(_00853_),
+    .Q(\u_uart_core.u_rxfifo.mem[11][3] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16307_ (.D(_00854_),
+    .Q(\u_uart_core.u_rxfifo.mem[11][4] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16308_ (.D(_00855_),
+    .Q(\u_uart_core.u_rxfifo.mem[11][5] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16309_ (.D(_00856_),
+    .Q(\u_uart_core.u_rxfifo.mem[11][6] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16310_ (.D(_00857_),
+    .Q(\u_uart_core.u_rxfifo.mem[11][7] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16311_ (.D(_00858_),
+    .Q(\u_uart_core.u_rxfifo.mem[12][0] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16312_ (.D(_00859_),
+    .Q(\u_uart_core.u_rxfifo.mem[12][1] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16313_ (.D(_00860_),
+    .Q(\u_uart_core.u_rxfifo.mem[12][2] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16314_ (.D(_00861_),
+    .Q(\u_uart_core.u_rxfifo.mem[12][3] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16315_ (.D(_00862_),
+    .Q(\u_uart_core.u_rxfifo.mem[12][4] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16316_ (.D(_00863_),
+    .Q(\u_uart_core.u_rxfifo.mem[12][5] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16317_ (.D(_00864_),
+    .Q(\u_uart_core.u_rxfifo.mem[12][6] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16318_ (.D(_00865_),
+    .Q(\u_uart_core.u_rxfifo.mem[12][7] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16319_ (.D(_00866_),
     .Q(\u_uart_core.u_rxfifo.mem[0][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17272_ (.D(_00867_),
+ sky130_fd_sc_hd__dfxtp_1 _16320_ (.D(_00867_),
     .Q(\u_uart_core.u_rxfifo.mem[0][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17273_ (.D(_00868_),
+ sky130_fd_sc_hd__dfxtp_1 _16321_ (.D(_00868_),
     .Q(\u_uart_core.u_rxfifo.mem[0][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17274_ (.D(_00869_),
+ sky130_fd_sc_hd__dfxtp_1 _16322_ (.D(_00869_),
     .Q(\u_uart_core.u_rxfifo.mem[0][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17275_ (.D(_00870_),
+ sky130_fd_sc_hd__dfxtp_1 _16323_ (.D(_00870_),
     .Q(\u_uart_core.u_rxfifo.mem[0][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17276_ (.D(_00871_),
+ sky130_fd_sc_hd__dfxtp_1 _16324_ (.D(_00871_),
     .Q(\u_uart_core.u_rxfifo.mem[0][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17277_ (.D(_00872_),
+ sky130_fd_sc_hd__dfxtp_1 _16325_ (.D(_00872_),
     .Q(\u_uart_core.u_rxfifo.mem[0][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17278_ (.D(_00873_),
+ sky130_fd_sc_hd__dfxtp_1 _16326_ (.D(_00873_),
     .Q(\u_uart_core.u_rxfifo.mem[0][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17279_ (.D(_00874_),
+ sky130_fd_sc_hd__dfxtp_1 _16327_ (.D(_00874_),
     .Q(\u_uart_core.u_rxfifo.mem[1][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17280_ (.D(_00875_),
+ sky130_fd_sc_hd__dfxtp_1 _16328_ (.D(_00875_),
     .Q(\u_uart_core.u_rxfifo.mem[1][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17281_ (.D(_00876_),
+ sky130_fd_sc_hd__dfxtp_1 _16329_ (.D(_00876_),
     .Q(\u_uart_core.u_rxfifo.mem[1][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17282_ (.D(_00877_),
+ sky130_fd_sc_hd__dfxtp_1 _16330_ (.D(_00877_),
     .Q(\u_uart_core.u_rxfifo.mem[1][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17283_ (.D(_00878_),
+ sky130_fd_sc_hd__dfxtp_1 _16331_ (.D(_00878_),
     .Q(\u_uart_core.u_rxfifo.mem[1][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17284_ (.D(_00879_),
+ sky130_fd_sc_hd__dfxtp_1 _16332_ (.D(_00879_),
     .Q(\u_uart_core.u_rxfifo.mem[1][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17285_ (.D(_00880_),
+ sky130_fd_sc_hd__dfxtp_1 _16333_ (.D(_00880_),
     .Q(\u_uart_core.u_rxfifo.mem[1][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17286_ (.D(_00881_),
+ sky130_fd_sc_hd__dfxtp_1 _16334_ (.D(_00881_),
     .Q(\u_uart_core.u_rxfifo.mem[1][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17287_ (.D(_00882_),
+ sky130_fd_sc_hd__dfxtp_1 _16335_ (.D(_00882_),
     .Q(\u_uart_core.u_rxfifo.mem[7][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17288_ (.D(_00883_),
+ sky130_fd_sc_hd__dfxtp_1 _16336_ (.D(_00883_),
     .Q(\u_uart_core.u_rxfifo.mem[7][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17289_ (.D(_00884_),
+ sky130_fd_sc_hd__dfxtp_1 _16337_ (.D(_00884_),
     .Q(\u_uart_core.u_rxfifo.mem[7][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17290_ (.D(_00885_),
+ sky130_fd_sc_hd__dfxtp_1 _16338_ (.D(_00885_),
     .Q(\u_uart_core.u_rxfifo.mem[7][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17291_ (.D(_00886_),
+ sky130_fd_sc_hd__dfxtp_1 _16339_ (.D(_00886_),
     .Q(\u_uart_core.u_rxfifo.mem[7][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17292_ (.D(_00887_),
+ sky130_fd_sc_hd__dfxtp_1 _16340_ (.D(_00887_),
     .Q(\u_uart_core.u_rxfifo.mem[7][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17293_ (.D(_00888_),
+ sky130_fd_sc_hd__dfxtp_1 _16341_ (.D(_00888_),
     .Q(\u_uart_core.u_rxfifo.mem[7][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17294_ (.D(_00889_),
+ sky130_fd_sc_hd__dfxtp_1 _16342_ (.D(_00889_),
     .Q(\u_uart_core.u_rxfifo.mem[7][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17295_ (.D(_00890_),
+ sky130_fd_sc_hd__dfxtp_1 _16343_ (.D(_00890_),
     .Q(\u_uart_core.u_rxfifo.mem[8][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17296_ (.D(_00891_),
+ sky130_fd_sc_hd__dfxtp_1 _16344_ (.D(_00891_),
     .Q(\u_uart_core.u_rxfifo.mem[8][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17297_ (.D(_00892_),
+ sky130_fd_sc_hd__dfxtp_1 _16345_ (.D(_00892_),
     .Q(\u_uart_core.u_rxfifo.mem[8][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17298_ (.D(_00893_),
+ sky130_fd_sc_hd__dfxtp_1 _16346_ (.D(_00893_),
     .Q(\u_uart_core.u_rxfifo.mem[8][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17299_ (.D(_00894_),
+ sky130_fd_sc_hd__dfxtp_1 _16347_ (.D(_00894_),
     .Q(\u_uart_core.u_rxfifo.mem[8][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17300_ (.D(_00895_),
+ sky130_fd_sc_hd__dfxtp_1 _16348_ (.D(_00895_),
     .Q(\u_uart_core.u_rxfifo.mem[8][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17301_ (.D(_00896_),
+ sky130_fd_sc_hd__dfxtp_1 _16349_ (.D(_00896_),
     .Q(\u_uart_core.u_rxfifo.mem[8][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17302_ (.D(_00897_),
+ sky130_fd_sc_hd__dfxtp_1 _16350_ (.D(_00897_),
     .Q(\u_uart_core.u_rxfifo.mem[8][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17303_ (.D(_00898_),
+ sky130_fd_sc_hd__dfxtp_1 _16351_ (.D(_00898_),
     .Q(\u_uart_core.u_txfifo.mem[3][0] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17304_ (.D(_00899_),
+ sky130_fd_sc_hd__dfxtp_1 _16352_ (.D(_00899_),
     .Q(\u_uart_core.u_txfifo.mem[3][1] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17305_ (.D(_00900_),
+ sky130_fd_sc_hd__dfxtp_1 _16353_ (.D(_00900_),
     .Q(\u_uart_core.u_txfifo.mem[3][2] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17306_ (.D(_00901_),
+ sky130_fd_sc_hd__dfxtp_1 _16354_ (.D(_00901_),
     .Q(\u_uart_core.u_txfifo.mem[3][3] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17307_ (.D(_00902_),
+ sky130_fd_sc_hd__dfxtp_1 _16355_ (.D(_00902_),
     .Q(\u_uart_core.u_txfifo.mem[3][4] ),
-    .CLK(clknet_leaf_2_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17308_ (.D(_00903_),
+ sky130_fd_sc_hd__dfxtp_1 _16356_ (.D(_00903_),
     .Q(\u_uart_core.u_txfifo.mem[3][5] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17309_ (.D(_00904_),
+ sky130_fd_sc_hd__dfxtp_1 _16357_ (.D(_00904_),
     .Q(\u_uart_core.u_txfifo.mem[3][6] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17310_ (.D(_00905_),
+ sky130_fd_sc_hd__dfxtp_1 _16358_ (.D(_00905_),
     .Q(\u_uart_core.u_txfifo.mem[3][7] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _17311_ (.D(_00906_),
+ sky130_fd_sc_hd__dfxtp_1 _16359_ (.D(_00906_),
     .Q(\u_i2cm.u_byte_ctrl.core_rxd ),
-    .CLK(clknet_leaf_24_app_clk),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17312_ (.D(_00907_),
+ sky130_fd_sc_hd__dfxtp_1 _16360_ (.D(_00907_),
     .Q(\u_uart_core.u_txfifo.mem[12][0] ),
-    .CLK(clknet_leaf_2_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17313_ (.D(_00908_),
+ sky130_fd_sc_hd__dfxtp_1 _16361_ (.D(_00908_),
     .Q(\u_uart_core.u_txfifo.mem[12][1] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17314_ (.D(_00909_),
+ sky130_fd_sc_hd__dfxtp_1 _16362_ (.D(_00909_),
     .Q(\u_uart_core.u_txfifo.mem[12][2] ),
-    .CLK(clknet_leaf_2_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17315_ (.D(_00910_),
+ sky130_fd_sc_hd__dfxtp_1 _16363_ (.D(_00910_),
     .Q(\u_uart_core.u_txfifo.mem[12][3] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17316_ (.D(_00911_),
+ sky130_fd_sc_hd__dfxtp_1 _16364_ (.D(_00911_),
     .Q(\u_uart_core.u_txfifo.mem[12][4] ),
     .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17317_ (.D(_00912_),
+ sky130_fd_sc_hd__dfxtp_1 _16365_ (.D(_00912_),
     .Q(\u_uart_core.u_txfifo.mem[12][5] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17318_ (.D(_00913_),
+ sky130_fd_sc_hd__dfxtp_1 _16366_ (.D(_00913_),
     .Q(\u_uart_core.u_txfifo.mem[12][6] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17319_ (.D(_00914_),
+ sky130_fd_sc_hd__dfxtp_1 _16367_ (.D(_00914_),
     .Q(\u_uart_core.u_txfifo.mem[12][7] ),
     .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17320_ (.D(_00915_),
+ sky130_fd_sc_hd__dfxtp_1 _16368_ (.D(_00915_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
-    .CLK(clknet_leaf_5_usb_clk),
+    .CLK(clknet_leaf_2_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17321_ (.D(_00916_),
+ sky130_fd_sc_hd__dfxtp_1 _16369_ (.D(_00916_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
-    .CLK(clknet_leaf_5_usb_clk),
+    .CLK(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17322_ (.D(_00917_),
+ sky130_fd_sc_hd__dfxtp_1 _16370_ (.D(_00917_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
-    .CLK(clknet_leaf_5_usb_clk),
+    .CLK(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17323_ (.D(_00918_),
+ sky130_fd_sc_hd__dfxtp_1 _16371_ (.D(_00918_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
-    .CLK(clknet_leaf_5_usb_clk),
+    .CLK(clknet_leaf_4_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17324_ (.D(_00919_),
+ sky130_fd_sc_hd__dfxtp_1 _16372_ (.D(_00919_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_4_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17325_ (.D(_00920_),
+ sky130_fd_sc_hd__dfxtp_1 _16373_ (.D(_00920_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_2_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17326_ (.D(_00921_),
+ sky130_fd_sc_hd__dfxtp_1 _16374_ (.D(_00921_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_2_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17327_ (.D(_00922_),
+ sky130_fd_sc_hd__dfxtp_1 _16375_ (.D(_00922_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_4_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17328_ (.D(_00923_),
+ sky130_fd_sc_hd__dfxtp_1 _16376_ (.D(_00923_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
-    .CLK(clknet_leaf_173_usb_clk),
+    .CLK(clknet_leaf_168_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17329_ (.D(_00924_),
+ sky130_fd_sc_hd__dfxtp_1 _16377_ (.D(_00924_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
-    .CLK(clknet_leaf_173_usb_clk),
+    .CLK(clknet_leaf_168_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17330_ (.D(_00925_),
+ sky130_fd_sc_hd__dfxtp_1 _16378_ (.D(_00925_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
-    .CLK(clknet_leaf_173_usb_clk),
+    .CLK(clknet_leaf_168_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17331_ (.D(_00926_),
+ sky130_fd_sc_hd__dfxtp_1 _16379_ (.D(_00926_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
-    .CLK(clknet_leaf_174_usb_clk),
+    .CLK(clknet_leaf_168_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17332_ (.D(_00927_),
+ sky130_fd_sc_hd__dfxtp_1 _16380_ (.D(_00927_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
-    .CLK(clknet_leaf_174_usb_clk),
+    .CLK(clknet_leaf_168_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17333_ (.D(_00928_),
+ sky130_fd_sc_hd__dfxtp_1 _16381_ (.D(_00928_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
-    .CLK(clknet_leaf_186_usb_clk),
+    .CLK(clknet_leaf_167_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17334_ (.D(_00929_),
+ sky130_fd_sc_hd__dfxtp_1 _16382_ (.D(_00929_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
-    .CLK(clknet_leaf_186_usb_clk),
+    .CLK(clknet_leaf_167_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17335_ (.D(_00930_),
+ sky130_fd_sc_hd__dfxtp_1 _16383_ (.D(_00930_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16384_ (.D(_00931_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16385_ (.D(_00932_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
+    .CLK(clknet_leaf_132_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16386_ (.D(_00933_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
+    .CLK(clknet_leaf_132_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16387_ (.D(_00934_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16388_ (.D(_00935_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
+    .CLK(clknet_leaf_168_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16389_ (.D(_00936_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16390_ (.D(_00937_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
+    .CLK(clknet_leaf_168_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16391_ (.D(_00938_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16392_ (.D(_00939_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
+    .CLK(clknet_leaf_153_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16393_ (.D(_00940_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
+    .CLK(clknet_leaf_153_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16394_ (.D(_00941_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
+    .CLK(clknet_leaf_153_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16395_ (.D(_00942_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
+    .CLK(clknet_leaf_153_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16396_ (.D(_00943_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16397_ (.D(_00944_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16398_ (.D(_00945_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
+    .CLK(clknet_leaf_184_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16399_ (.D(_00946_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
+    .CLK(clknet_leaf_153_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16400_ (.D(_00947_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16401_ (.D(_00948_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16402_ (.D(_00949_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16403_ (.D(_00950_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16404_ (.D(_00951_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
+    .CLK(clknet_leaf_168_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16405_ (.D(_00952_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
+    .CLK(clknet_leaf_168_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16406_ (.D(_00953_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
+    .CLK(clknet_leaf_168_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16407_ (.D(_00954_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16408_ (.D(_00955_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
+    .CLK(clknet_leaf_195_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16409_ (.D(_00956_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
+    .CLK(clknet_leaf_195_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16410_ (.D(_00957_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
+    .CLK(clknet_leaf_195_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16411_ (.D(_00958_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
+    .CLK(clknet_leaf_195_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16412_ (.D(_00959_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
+    .CLK(clknet_leaf_174_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16413_ (.D(_00960_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
+    .CLK(clknet_leaf_174_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16414_ (.D(_00961_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
+    .CLK(clknet_leaf_174_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16415_ (.D(_00962_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
+    .CLK(clknet_leaf_196_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16416_ (.D(_00963_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
+    .CLK(clknet_leaf_185_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16417_ (.D(_00964_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
+    .CLK(clknet_leaf_185_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16418_ (.D(_00965_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
     .CLK(clknet_leaf_186_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17336_ (.D(_00931_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17337_ (.D(_00932_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
-    .CLK(clknet_leaf_173_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17338_ (.D(_00933_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
-    .CLK(clknet_leaf_173_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17339_ (.D(_00934_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17340_ (.D(_00935_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
-    .CLK(clknet_leaf_173_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17341_ (.D(_00936_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17342_ (.D(_00937_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17343_ (.D(_00938_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17344_ (.D(_00939_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
-    .CLK(clknet_leaf_175_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17345_ (.D(_00940_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17346_ (.D(_00941_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17347_ (.D(_00942_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
-    .CLK(clknet_leaf_177_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17348_ (.D(_00943_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
-    .CLK(clknet_leaf_170_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17349_ (.D(_00944_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
-    .CLK(clknet_leaf_177_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17350_ (.D(_00945_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
-    .CLK(clknet_leaf_177_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17351_ (.D(_00946_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
-    .CLK(clknet_leaf_171_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17352_ (.D(_00947_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
-    .CLK(clknet_leaf_174_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17353_ (.D(_00948_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17354_ (.D(_00949_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
-    .CLK(clknet_leaf_174_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17355_ (.D(_00950_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17356_ (.D(_00951_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
-    .CLK(clknet_leaf_174_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17357_ (.D(_00952_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17358_ (.D(_00953_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
-    .CLK(clknet_leaf_175_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17359_ (.D(_00954_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
-    .CLK(clknet_leaf_175_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17360_ (.D(_00955_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17361_ (.D(_00956_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17362_ (.D(_00957_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17363_ (.D(_00958_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17364_ (.D(_00959_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17365_ (.D(_00960_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17366_ (.D(_00961_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17367_ (.D(_00962_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17368_ (.D(_00963_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
-    .CLK(clknet_leaf_177_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17369_ (.D(_00964_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17370_ (.D(_00965_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
-    .CLK(clknet_leaf_177_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17371_ (.D(_00966_),
+ sky130_fd_sc_hd__dfxtp_1 _16419_ (.D(_00966_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ),
-    .CLK(clknet_leaf_177_usb_clk),
+    .CLK(clknet_leaf_185_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17372_ (.D(_00967_),
+ sky130_fd_sc_hd__dfxtp_1 _16420_ (.D(_00967_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
+    .CLK(clknet_leaf_185_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16421_ (.D(_00968_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
+    .CLK(clknet_leaf_186_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16422_ (.D(_00969_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
+    .CLK(clknet_leaf_186_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16423_ (.D(_00970_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
+    .CLK(clknet_leaf_186_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16424_ (.D(_00971_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
+    .CLK(clknet_leaf_157_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16425_ (.D(_00972_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
+    .CLK(clknet_leaf_157_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16426_ (.D(_00973_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
+    .CLK(clknet_leaf_159_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16427_ (.D(_00974_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
+    .CLK(clknet_leaf_159_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16428_ (.D(_00975_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
+    .CLK(clknet_leaf_159_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16429_ (.D(_00976_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
+    .CLK(clknet_leaf_157_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16430_ (.D(_00977_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
+    .CLK(clknet_leaf_157_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16431_ (.D(_00978_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
+    .CLK(clknet_leaf_157_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16432_ (.D(_00979_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16433_ (.D(_00980_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
+    .CLK(clknet_leaf_132_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16434_ (.D(_00981_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
+    .CLK(clknet_leaf_132_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16435_ (.D(_00982_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16436_ (.D(_00983_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16437_ (.D(_00984_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16438_ (.D(_00985_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16439_ (.D(_00986_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16440_ (.D(_00987_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
+    .CLK(clknet_leaf_163_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16441_ (.D(_00988_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
+    .CLK(clknet_leaf_163_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16442_ (.D(_00989_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
+    .CLK(clknet_leaf_163_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16443_ (.D(_00990_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
+    .CLK(clknet_leaf_163_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16444_ (.D(_00991_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
+    .CLK(clknet_leaf_164_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16445_ (.D(_00992_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
+    .CLK(clknet_leaf_164_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16446_ (.D(_00993_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
+    .CLK(clknet_leaf_164_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16447_ (.D(_00994_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
+    .CLK(clknet_leaf_164_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16448_ (.D(_00995_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
+    .CLK(clknet_leaf_180_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16449_ (.D(_00996_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
+    .CLK(clknet_leaf_173_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16450_ (.D(_00997_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
+    .CLK(clknet_leaf_172_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16451_ (.D(_00998_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
     .CLK(clknet_leaf_179_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17373_ (.D(_00968_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
-    .CLK(clknet_leaf_177_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16452_ (.D(_00999_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
+    .CLK(clknet_leaf_179_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17374_ (.D(_00969_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
+ sky130_fd_sc_hd__dfxtp_1 _16453_ (.D(_01000_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
     .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17375_ (.D(_00970_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17376_ (.D(_00971_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
-    .CLK(clknet_leaf_167_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17377_ (.D(_00972_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17378_ (.D(_00973_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17379_ (.D(_00974_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
-    .CLK(clknet_leaf_167_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17380_ (.D(_00975_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17381_ (.D(_00976_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
-    .CLK(clknet_leaf_166_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17382_ (.D(_00977_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
-    .CLK(clknet_leaf_166_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17383_ (.D(_00978_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17384_ (.D(_00979_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17385_ (.D(_00980_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17386_ (.D(_00981_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17387_ (.D(_00982_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17388_ (.D(_00983_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17389_ (.D(_00984_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17390_ (.D(_00985_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
-    .CLK(clknet_leaf_167_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17391_ (.D(_00986_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17392_ (.D(_00987_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
-    .CLK(clknet_leaf_141_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17393_ (.D(_00988_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
-    .CLK(clknet_leaf_141_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17394_ (.D(_00989_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
-    .CLK(clknet_leaf_141_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17395_ (.D(_00990_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
-    .CLK(clknet_leaf_157_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17396_ (.D(_00991_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
-    .CLK(clknet_leaf_143_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17397_ (.D(_00992_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
-    .CLK(clknet_leaf_157_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17398_ (.D(_00993_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
-    .CLK(clknet_leaf_157_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17399_ (.D(_00994_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
-    .CLK(clknet_leaf_157_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17400_ (.D(_00995_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
-    .CLK(clknet_leaf_145_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17401_ (.D(_00996_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
-    .CLK(clknet_leaf_144_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17402_ (.D(_00997_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
-    .CLK(clknet_leaf_144_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17403_ (.D(_00998_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
-    .CLK(clknet_leaf_145_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17404_ (.D(_00999_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
-    .CLK(clknet_leaf_145_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17405_ (.D(_01000_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
-    .CLK(clknet_leaf_145_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17406_ (.D(_01001_),
+ sky130_fd_sc_hd__dfxtp_1 _16454_ (.D(_01001_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ),
-    .CLK(clknet_leaf_145_usb_clk),
+    .CLK(clknet_leaf_179_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17407_ (.D(_01002_),
+ sky130_fd_sc_hd__dfxtp_1 _16455_ (.D(_01002_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ),
-    .CLK(clknet_leaf_145_usb_clk),
+    .CLK(clknet_leaf_179_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17408_ (.D(_01003_),
+ sky130_fd_sc_hd__dfxtp_1 _16456_ (.D(_01003_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
-    .CLK(clknet_leaf_142_usb_clk),
+    .CLK(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17409_ (.D(_01004_),
+ sky130_fd_sc_hd__dfxtp_1 _16457_ (.D(_01004_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
-    .CLK(clknet_leaf_142_usb_clk),
+    .CLK(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17410_ (.D(_01005_),
+ sky130_fd_sc_hd__dfxtp_1 _16458_ (.D(_01005_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
-    .CLK(clknet_leaf_142_usb_clk),
+    .CLK(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17411_ (.D(_01006_),
+ sky130_fd_sc_hd__dfxtp_1 _16459_ (.D(_01006_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
-    .CLK(clknet_leaf_142_usb_clk),
+    .CLK(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17412_ (.D(_01007_),
+ sky130_fd_sc_hd__dfxtp_1 _16460_ (.D(_01007_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
-    .CLK(clknet_leaf_146_usb_clk),
+    .CLK(clknet_leaf_187_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17413_ (.D(_01008_),
+ sky130_fd_sc_hd__dfxtp_1 _16461_ (.D(_01008_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
-    .CLK(clknet_leaf_146_usb_clk),
+    .CLK(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17414_ (.D(_01009_),
+ sky130_fd_sc_hd__dfxtp_1 _16462_ (.D(_01009_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
-    .CLK(clknet_leaf_144_usb_clk),
+    .CLK(clknet_leaf_187_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17415_ (.D(_01010_),
+ sky130_fd_sc_hd__dfxtp_1 _16463_ (.D(_01010_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
-    .CLK(clknet_leaf_144_usb_clk),
+    .CLK(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17416_ (.D(_01011_),
+ sky130_fd_sc_hd__dfxtp_1 _16464_ (.D(_01011_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ),
-    .CLK(clknet_leaf_146_usb_clk),
+    .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17417_ (.D(_01012_),
+ sky130_fd_sc_hd__dfxtp_1 _16465_ (.D(_01012_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ),
-    .CLK(clknet_leaf_144_usb_clk),
+    .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17418_ (.D(_01013_),
+ sky130_fd_sc_hd__dfxtp_1 _16466_ (.D(_01013_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
-    .CLK(clknet_leaf_144_usb_clk),
+    .CLK(clknet_leaf_189_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17419_ (.D(_01014_),
+ sky130_fd_sc_hd__dfxtp_1 _16467_ (.D(_01014_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ),
-    .CLK(clknet_leaf_144_usb_clk),
+    .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17420_ (.D(_01015_),
+ sky130_fd_sc_hd__dfxtp_1 _16468_ (.D(_01015_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
-    .CLK(clknet_leaf_145_usb_clk),
+    .CLK(clknet_leaf_179_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17421_ (.D(_01016_),
+ sky130_fd_sc_hd__dfxtp_1 _16469_ (.D(_01016_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
-    .CLK(clknet_leaf_146_usb_clk),
+    .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17422_ (.D(_01017_),
+ sky130_fd_sc_hd__dfxtp_1 _16470_ (.D(_01017_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
-    .CLK(clknet_leaf_146_usb_clk),
+    .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17423_ (.D(_01018_),
+ sky130_fd_sc_hd__dfxtp_1 _16471_ (.D(_01018_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
-    .CLK(clknet_leaf_146_usb_clk),
+    .CLK(clknet_leaf_179_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17424_ (.D(_01019_),
+ sky130_fd_sc_hd__dfxtp_1 _16472_ (.D(_01019_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
-    .CLK(clknet_leaf_158_usb_clk),
+    .CLK(clknet_leaf_58_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17425_ (.D(_01020_),
+ sky130_fd_sc_hd__dfxtp_1 _16473_ (.D(_01020_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
-    .CLK(clknet_leaf_158_usb_clk),
+    .CLK(clknet_leaf_60_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17426_ (.D(_01021_),
+ sky130_fd_sc_hd__dfxtp_1 _16474_ (.D(_01021_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
-    .CLK(clknet_leaf_158_usb_clk),
+    .CLK(clknet_leaf_60_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17427_ (.D(_01022_),
+ sky130_fd_sc_hd__dfxtp_1 _16475_ (.D(_01022_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
-    .CLK(clknet_leaf_158_usb_clk),
+    .CLK(clknet_leaf_58_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17428_ (.D(_01023_),
+ sky130_fd_sc_hd__dfxtp_1 _16476_ (.D(_01023_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_132_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17429_ (.D(_01024_),
+ sky130_fd_sc_hd__dfxtp_1 _16477_ (.D(_01024_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_60_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17430_ (.D(_01025_),
+ sky130_fd_sc_hd__dfxtp_1 _16478_ (.D(_01025_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
-    .CLK(clknet_leaf_155_usb_clk),
+    .CLK(clknet_leaf_60_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17431_ (.D(_01026_),
+ sky130_fd_sc_hd__dfxtp_1 _16479_ (.D(_01026_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_132_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17432_ (.D(_01027_),
+ sky130_fd_sc_hd__dfxtp_1 _16480_ (.D(_01027_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
-    .CLK(clknet_leaf_158_usb_clk),
+    .CLK(clknet_leaf_137_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17433_ (.D(_01028_),
+ sky130_fd_sc_hd__dfxtp_1 _16481_ (.D(_01028_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_135_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17434_ (.D(_01029_),
+ sky130_fd_sc_hd__dfxtp_1 _16482_ (.D(_01029_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
-    .CLK(clknet_leaf_157_usb_clk),
+    .CLK(clknet_leaf_135_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17435_ (.D(_01030_),
+ sky130_fd_sc_hd__dfxtp_1 _16483_ (.D(_01030_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
-    .CLK(clknet_leaf_157_usb_clk),
+    .CLK(clknet_leaf_139_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17436_ (.D(_01031_),
+ sky130_fd_sc_hd__dfxtp_1 _16484_ (.D(_01031_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_140_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17437_ (.D(_01032_),
+ sky130_fd_sc_hd__dfxtp_1 _16485_ (.D(_01032_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_140_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17438_ (.D(_01033_),
+ sky130_fd_sc_hd__dfxtp_1 _16486_ (.D(_01033_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_140_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17439_ (.D(_01034_),
+ sky130_fd_sc_hd__dfxtp_1 _16487_ (.D(_01034_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
-    .CLK(clknet_leaf_157_usb_clk),
+    .CLK(clknet_leaf_139_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17440_ (.D(_01035_),
+ sky130_fd_sc_hd__dfxtp_1 _16488_ (.D(_01035_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
-    .CLK(clknet_leaf_157_usb_clk),
+    .CLK(clknet_leaf_189_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17441_ (.D(_01036_),
+ sky130_fd_sc_hd__dfxtp_1 _16489_ (.D(_01036_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ),
-    .CLK(clknet_leaf_155_usb_clk),
+    .CLK(clknet_leaf_177_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17442_ (.D(_01037_),
+ sky130_fd_sc_hd__dfxtp_1 _16490_ (.D(_01037_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_189_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17443_ (.D(_01038_),
+ sky130_fd_sc_hd__dfxtp_1 _16491_ (.D(_01038_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
-    .CLK(clknet_leaf_143_usb_clk),
+    .CLK(clknet_leaf_189_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17444_ (.D(_01039_),
+ sky130_fd_sc_hd__dfxtp_1 _16492_ (.D(_01039_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
-    .CLK(clknet_leaf_144_usb_clk),
+    .CLK(clknet_leaf_177_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17445_ (.D(_01040_),
+ sky130_fd_sc_hd__dfxtp_1 _16493_ (.D(_01040_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
-    .CLK(clknet_leaf_155_usb_clk),
+    .CLK(clknet_leaf_177_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17446_ (.D(_01041_),
+ sky130_fd_sc_hd__dfxtp_1 _16494_ (.D(_01041_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
-    .CLK(clknet_leaf_144_usb_clk),
+    .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17447_ (.D(_01042_),
+ sky130_fd_sc_hd__dfxtp_1 _16495_ (.D(_01042_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
-    .CLK(clknet_leaf_144_usb_clk),
+    .CLK(clknet_leaf_177_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17448_ (.D(_01043_),
+ sky130_fd_sc_hd__dfxtp_1 _16496_ (.D(_01043_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
-    .CLK(clknet_leaf_155_usb_clk),
+    .CLK(clknet_leaf_185_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17449_ (.D(_01044_),
+ sky130_fd_sc_hd__dfxtp_1 _16497_ (.D(_01044_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
-    .CLK(clknet_leaf_155_usb_clk),
+    .CLK(clknet_leaf_185_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17450_ (.D(_01045_),
+ sky130_fd_sc_hd__dfxtp_1 _16498_ (.D(_01045_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
-    .CLK(clknet_leaf_155_usb_clk),
+    .CLK(clknet_leaf_185_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17451_ (.D(_01046_),
+ sky130_fd_sc_hd__dfxtp_1 _16499_ (.D(_01046_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
-    .CLK(clknet_leaf_156_usb_clk),
+    .CLK(clknet_leaf_185_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17452_ (.D(_01047_),
+ sky130_fd_sc_hd__dfxtp_1 _16500_ (.D(_01047_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
-    .CLK(clknet_leaf_155_usb_clk),
+    .CLK(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17453_ (.D(_01048_),
+ sky130_fd_sc_hd__dfxtp_1 _16501_ (.D(_01048_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
-    .CLK(clknet_leaf_155_usb_clk),
+    .CLK(clknet_leaf_151_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17454_ (.D(_01049_),
+ sky130_fd_sc_hd__dfxtp_1 _16502_ (.D(_01049_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
-    .CLK(clknet_leaf_154_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17455_ (.D(_01050_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
-    .CLK(clknet_leaf_144_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17456_ (.D(_01051_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
-    .CLK(clknet_leaf_185_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17457_ (.D(_01052_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
-    .CLK(clknet_leaf_185_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17458_ (.D(_01053_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17459_ (.D(_01054_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
-    .CLK(clknet_leaf_180_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17460_ (.D(_01055_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17461_ (.D(_01056_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
-    .CLK(clknet_leaf_180_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17462_ (.D(_01057_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
-    .CLK(clknet_leaf_184_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17463_ (.D(_01058_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
-    .CLK(clknet_leaf_180_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17464_ (.D(_01059_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
-    .CLK(clknet_leaf_175_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17465_ (.D(_01060_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
-    .CLK(clknet_leaf_177_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17466_ (.D(_01061_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
-    .CLK(clknet_leaf_177_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17467_ (.D(_01062_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17468_ (.D(_01063_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17469_ (.D(_01064_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17470_ (.D(_01065_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
-    .CLK(clknet_leaf_176_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17471_ (.D(_01066_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
     .CLK(clknet_leaf_186_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17472_ (.D(_01067_),
+ sky130_fd_sc_hd__dfxtp_1 _16503_ (.D(_01050_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
+    .CLK(clknet_leaf_151_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16504_ (.D(_01051_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
+    .CLK(clknet_leaf_181_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16505_ (.D(_01052_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
+    .CLK(clknet_leaf_181_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16506_ (.D(_01053_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
+    .CLK(clknet_leaf_156_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16507_ (.D(_01054_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
+    .CLK(clknet_leaf_181_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16508_ (.D(_01055_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
+    .CLK(clknet_leaf_156_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16509_ (.D(_01056_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
+    .CLK(clknet_leaf_165_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16510_ (.D(_01057_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
+    .CLK(clknet_leaf_156_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16511_ (.D(_01058_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
+    .CLK(clknet_leaf_156_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16512_ (.D(_01059_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
+    .CLK(clknet_leaf_5_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16513_ (.D(_01060_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
+    .CLK(clknet_leaf_59_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16514_ (.D(_01061_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
+    .CLK(clknet_leaf_5_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16515_ (.D(_01062_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
+    .CLK(clknet_leaf_5_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16516_ (.D(_01063_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
+    .CLK(clknet_leaf_169_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16517_ (.D(_01064_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
+    .CLK(clknet_leaf_169_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16518_ (.D(_01065_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
+    .CLK(clknet_leaf_169_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16519_ (.D(_01066_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
+    .CLK(clknet_leaf_169_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16520_ (.D(_01067_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
-    .CLK(clknet_leaf_183_usb_clk),
+    .CLK(clknet_leaf_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17473_ (.D(_01068_),
+ sky130_fd_sc_hd__dfxtp_1 _16521_ (.D(_01068_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17474_ (.D(_01069_),
+ sky130_fd_sc_hd__dfxtp_1 _16522_ (.D(_01069_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17475_ (.D(_01070_),
+ sky130_fd_sc_hd__dfxtp_1 _16523_ (.D(_01070_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
-    .CLK(clknet_leaf_183_usb_clk),
+    .CLK(clknet_leaf_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17476_ (.D(_01071_),
+ sky130_fd_sc_hd__dfxtp_1 _16524_ (.D(_01071_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
-    .CLK(clknet_leaf_183_usb_clk),
+    .CLK(clknet_leaf_3_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17477_ (.D(_01072_),
+ sky130_fd_sc_hd__dfxtp_1 _16525_ (.D(_01072_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
-    .CLK(clknet_leaf_183_usb_clk),
+    .CLK(clknet_leaf_2_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17478_ (.D(_01073_),
+ sky130_fd_sc_hd__dfxtp_1 _16526_ (.D(_01073_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
-    .CLK(clknet_leaf_183_usb_clk),
+    .CLK(clknet_leaf_3_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17479_ (.D(_01074_),
+ sky130_fd_sc_hd__dfxtp_1 _16527_ (.D(_01074_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17480_ (.D(_01075_),
+ sky130_fd_sc_hd__dfxtp_1 _16528_ (.D(_01075_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ),
-    .CLK(clknet_leaf_185_usb_clk),
+    .CLK(clknet_leaf_155_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17481_ (.D(_01076_),
+ sky130_fd_sc_hd__dfxtp_1 _16529_ (.D(_01076_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_156_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17482_ (.D(_01077_),
+ sky130_fd_sc_hd__dfxtp_1 _16530_ (.D(_01077_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_156_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17483_ (.D(_01078_),
+ sky130_fd_sc_hd__dfxtp_1 _16531_ (.D(_01078_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_156_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17484_ (.D(_01079_),
+ sky130_fd_sc_hd__dfxtp_1 _16532_ (.D(_01079_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ),
-    .CLK(clknet_leaf_180_usb_clk),
+    .CLK(clknet_leaf_157_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17485_ (.D(_01080_),
+ sky130_fd_sc_hd__dfxtp_1 _16533_ (.D(_01080_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_155_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17486_ (.D(_01081_),
+ sky130_fd_sc_hd__dfxtp_1 _16534_ (.D(_01081_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_158_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17487_ (.D(_01082_),
+ sky130_fd_sc_hd__dfxtp_1 _16535_ (.D(_01082_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ),
-    .CLK(clknet_leaf_184_usb_clk),
+    .CLK(clknet_leaf_157_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17488_ (.D(_01083_),
+ sky130_fd_sc_hd__dfxtp_1 _16536_ (.D(_01083_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ),
     .CLK(clknet_leaf_138_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17489_ (.D(_01084_),
+ sky130_fd_sc_hd__dfxtp_1 _16537_ (.D(_01084_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ),
     .CLK(clknet_leaf_138_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17490_ (.D(_01085_),
+ sky130_fd_sc_hd__dfxtp_1 _16538_ (.D(_01085_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ),
     .CLK(clknet_leaf_138_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17491_ (.D(_01086_),
+ sky130_fd_sc_hd__dfxtp_1 _16539_ (.D(_01086_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ),
     .CLK(clknet_leaf_138_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17492_ (.D(_01087_),
+ sky130_fd_sc_hd__dfxtp_1 _16540_ (.D(_01087_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ),
-    .CLK(clknet_leaf_157_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17493_ (.D(_01088_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17494_ (.D(_01089_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17495_ (.D(_01090_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
-    .CLK(clknet_leaf_138_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17496_ (.D(_01091_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
     .CLK(clknet_leaf_139_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17497_ (.D(_01092_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
-    .CLK(clknet_leaf_138_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17498_ (.D(_01093_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
-    .CLK(clknet_leaf_138_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17499_ (.D(_01094_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
-    .CLK(clknet_leaf_138_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17500_ (.D(_01095_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17501_ (.D(_01096_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17502_ (.D(_01097_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17503_ (.D(_01098_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17504_ (.D(_01099_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17505_ (.D(_01100_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
-    .CLK(clknet_leaf_158_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17506_ (.D(_01101_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
-    .CLK(clknet_leaf_158_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17507_ (.D(_01102_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17508_ (.D(_01103_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17509_ (.D(_01104_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17510_ (.D(_01105_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17511_ (.D(_01106_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
-    .CLK(clknet_leaf_158_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17512_ (.D(_01107_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
-    .CLK(clknet_leaf_142_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17513_ (.D(_01108_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
+ sky130_fd_sc_hd__dfxtp_1 _16541_ (.D(_01088_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
     .CLK(clknet_leaf_141_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17514_ (.D(_01109_),
+ sky130_fd_sc_hd__dfxtp_1 _16542_ (.D(_01089_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
+    .CLK(clknet_leaf_141_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16543_ (.D(_01090_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
+    .CLK(clknet_leaf_139_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16544_ (.D(_01091_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
+    .CLK(clknet_leaf_163_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16545_ (.D(_01092_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
+    .CLK(clknet_leaf_163_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16546_ (.D(_01093_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
+    .CLK(clknet_leaf_140_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16547_ (.D(_01094_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
+    .CLK(clknet_leaf_161_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16548_ (.D(_01095_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
+    .CLK(clknet_leaf_161_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16549_ (.D(_01096_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
+    .CLK(clknet_leaf_161_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16550_ (.D(_01097_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
+    .CLK(clknet_leaf_161_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16551_ (.D(_01098_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
+    .CLK(clknet_4_8_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16552_ (.D(_01099_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
+    .CLK(clknet_leaf_59_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16553_ (.D(_01100_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
+    .CLK(clknet_leaf_58_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16554_ (.D(_01101_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
+    .CLK(clknet_leaf_58_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16555_ (.D(_01102_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
+    .CLK(clknet_leaf_58_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16556_ (.D(_01103_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
+    .CLK(clknet_leaf_59_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16557_ (.D(_01104_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
+    .CLK(clknet_leaf_59_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16558_ (.D(_01105_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
+    .CLK(clknet_leaf_59_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16559_ (.D(_01106_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
+    .CLK(clknet_leaf_5_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16560_ (.D(_01107_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
+    .CLK(clknet_leaf_188_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16561_ (.D(_01108_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
+    .CLK(clknet_leaf_189_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16562_ (.D(_01109_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
-    .CLK(clknet_leaf_142_usb_clk),
+    .CLK(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17515_ (.D(_01110_),
+ sky130_fd_sc_hd__dfxtp_1 _16563_ (.D(_01110_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
-    .CLK(clknet_leaf_143_usb_clk),
+    .CLK(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17516_ (.D(_01111_),
+ sky130_fd_sc_hd__dfxtp_1 _16564_ (.D(_01111_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
-    .CLK(clknet_leaf_143_usb_clk),
+    .CLK(clknet_leaf_183_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17517_ (.D(_01112_),
+ sky130_fd_sc_hd__dfxtp_1 _16565_ (.D(_01112_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
-    .CLK(clknet_leaf_157_usb_clk),
+    .CLK(clknet_leaf_184_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17518_ (.D(_01113_),
+ sky130_fd_sc_hd__dfxtp_1 _16566_ (.D(_01113_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
-    .CLK(clknet_leaf_143_usb_clk),
+    .CLK(clknet_leaf_187_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17519_ (.D(_01114_),
+ sky130_fd_sc_hd__dfxtp_1 _16567_ (.D(_01114_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
-    .CLK(clknet_leaf_143_usb_clk),
+    .CLK(clknet_leaf_183_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17520_ (.D(_01115_),
+ sky130_fd_sc_hd__dfxtp_1 _16568_ (.D(_01115_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17521_ (.D(_01116_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17522_ (.D(_01117_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17523_ (.D(_01118_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17524_ (.D(_01119_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
-    .CLK(clknet_leaf_147_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17525_ (.D(_01120_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17526_ (.D(_01121_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
-    .CLK(clknet_leaf_148_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17527_ (.D(_01122_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
-    .CLK(clknet_leaf_148_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17528_ (.D(_01123_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
-    .CLK(clknet_leaf_149_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17529_ (.D(_01124_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
-    .CLK(clknet_leaf_149_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17530_ (.D(_01125_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
-    .CLK(clknet_leaf_149_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17531_ (.D(_01126_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
-    .CLK(clknet_leaf_182_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17532_ (.D(_01127_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
-    .CLK(clknet_leaf_149_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17533_ (.D(_01128_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
     .CLK(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17534_ (.D(_01129_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
-    .CLK(clknet_leaf_149_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16569_ (.D(_01116_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ),
+    .CLK(clknet_leaf_172_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17535_ (.D(_01130_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
-    .CLK(clknet_leaf_149_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16570_ (.D(_01117_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
+    .CLK(clknet_leaf_172_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17536_ (.D(_01131_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
-    .CLK(clknet_leaf_183_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16571_ (.D(_01118_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
+    .CLK(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17537_ (.D(_01132_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
-    .CLK(clknet_leaf_183_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16572_ (.D(_01119_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
+    .CLK(clknet_leaf_180_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17538_ (.D(_01133_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
-    .CLK(clknet_leaf_182_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16573_ (.D(_01120_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
+    .CLK(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17539_ (.D(_01134_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
-    .CLK(clknet_leaf_182_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16574_ (.D(_01121_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
+    .CLK(clknet_leaf_180_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17540_ (.D(_01135_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
-    .CLK(clknet_leaf_182_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16575_ (.D(_01122_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
+    .CLK(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17541_ (.D(_01136_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
-    .CLK(clknet_leaf_182_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16576_ (.D(_01123_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
+    .CLK(clknet_leaf_187_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17542_ (.D(_01137_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
-    .CLK(clknet_leaf_182_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16577_ (.D(_01124_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
+    .CLK(clknet_leaf_187_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17543_ (.D(_01138_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
-    .CLK(clknet_leaf_182_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16578_ (.D(_01125_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
+    .CLK(clknet_leaf_187_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17544_ (.D(_01139_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
-    .CLK(clknet_leaf_185_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16579_ (.D(_01126_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
+    .CLK(clknet_leaf_187_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17545_ (.D(_01140_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
+ sky130_fd_sc_hd__dfxtp_1 _16580_ (.D(_01127_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
     .CLK(clknet_leaf_186_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17546_ (.D(_01141_),
+ sky130_fd_sc_hd__dfxtp_1 _16581_ (.D(_01128_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
+    .CLK(clknet_leaf_187_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16582_ (.D(_01129_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
+    .CLK(clknet_leaf_186_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16583_ (.D(_01130_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
+    .CLK(clknet_leaf_186_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16584_ (.D(_01131_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
+    .CLK(clknet_leaf_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16585_ (.D(_01132_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
+    .CLK(clknet_leaf_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16586_ (.D(_01133_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
+    .CLK(clknet_leaf_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16587_ (.D(_01134_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
+    .CLK(clknet_leaf_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16588_ (.D(_01135_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
+    .CLK(clknet_leaf_3_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16589_ (.D(_01136_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
+    .CLK(clknet_leaf_3_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16590_ (.D(_01137_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
+    .CLK(clknet_leaf_3_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16591_ (.D(_01138_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
+    .CLK(clknet_leaf_3_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16592_ (.D(_01139_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
+    .CLK(clknet_leaf_170_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16593_ (.D(_01140_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
+    .CLK(clknet_leaf_169_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16594_ (.D(_01141_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
-    .CLK(clknet_leaf_185_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17547_ (.D(_01142_),
+ sky130_fd_sc_hd__dfxtp_1 _16595_ (.D(_01142_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
-    .CLK(clknet_leaf_185_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17548_ (.D(_01143_),
+ sky130_fd_sc_hd__dfxtp_1 _16596_ (.D(_01143_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
-    .CLK(clknet_leaf_185_usb_clk),
+    .CLK(clknet_leaf_169_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17549_ (.D(_01144_),
+ sky130_fd_sc_hd__dfxtp_1 _16597_ (.D(_01144_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
-    .CLK(clknet_leaf_185_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17550_ (.D(_01145_),
+ sky130_fd_sc_hd__dfxtp_1 _16598_ (.D(_01145_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
-    .CLK(clknet_leaf_185_usb_clk),
+    .CLK(clknet_leaf_169_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17551_ (.D(_01146_),
+ sky130_fd_sc_hd__dfxtp_1 _16599_ (.D(_01146_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
-    .CLK(clknet_leaf_185_usb_clk),
+    .CLK(clknet_leaf_169_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17552_ (.D(_01147_),
+ sky130_fd_sc_hd__dfxtp_1 _16600_ (.D(_01147_),
     .Q(\u_uart_core.u_txfifo.mem[8][0] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17553_ (.D(_01148_),
+ sky130_fd_sc_hd__dfxtp_1 _16601_ (.D(_01148_),
     .Q(\u_uart_core.u_txfifo.mem[8][1] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17554_ (.D(_01149_),
+ sky130_fd_sc_hd__dfxtp_1 _16602_ (.D(_01149_),
     .Q(\u_uart_core.u_txfifo.mem[8][2] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17555_ (.D(_01150_),
+ sky130_fd_sc_hd__dfxtp_1 _16603_ (.D(_01150_),
     .Q(\u_uart_core.u_txfifo.mem[8][3] ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16604_ (.D(_01151_),
+    .Q(\u_uart_core.u_txfifo.mem[8][4] ),
     .CLK(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17556_ (.D(_01151_),
-    .Q(\u_uart_core.u_txfifo.mem[8][4] ),
-    .CLK(clknet_leaf_6_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17557_ (.D(_01152_),
+ sky130_fd_sc_hd__dfxtp_1 _16605_ (.D(_01152_),
     .Q(\u_uart_core.u_txfifo.mem[8][5] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17558_ (.D(_01153_),
+ sky130_fd_sc_hd__dfxtp_1 _16606_ (.D(_01153_),
     .Q(\u_uart_core.u_txfifo.mem[8][6] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17559_ (.D(_01154_),
+ sky130_fd_sc_hd__dfxtp_1 _16607_ (.D(_01154_),
     .Q(\u_uart_core.u_txfifo.mem[8][7] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17560_ (.D(_01155_),
+ sky130_fd_sc_hd__dfxtp_1 _16608_ (.D(_01155_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
-    .CLK(clknet_leaf_135_usb_clk),
+    .CLK(clknet_leaf_163_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17561_ (.D(_01156_),
+ sky130_fd_sc_hd__dfxtp_1 _16609_ (.D(_01156_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
-    .CLK(clknet_leaf_135_usb_clk),
+    .CLK(clknet_leaf_166_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17562_ (.D(_01157_),
+ sky130_fd_sc_hd__dfxtp_1 _16610_ (.D(_01157_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
-    .CLK(clknet_leaf_137_usb_clk),
+    .CLK(clknet_leaf_163_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17563_ (.D(_01158_),
+ sky130_fd_sc_hd__dfxtp_1 _16611_ (.D(_01158_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
-    .CLK(clknet_leaf_135_usb_clk),
+    .CLK(clknet_leaf_164_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17564_ (.D(_01159_),
+ sky130_fd_sc_hd__dfxtp_1 _16612_ (.D(_01159_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
-    .CLK(clknet_leaf_139_usb_clk),
+    .CLK(clknet_leaf_164_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17565_ (.D(_01160_),
+ sky130_fd_sc_hd__dfxtp_1 _16613_ (.D(_01160_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
-    .CLK(clknet_leaf_139_usb_clk),
+    .CLK(clknet_leaf_165_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17566_ (.D(_01161_),
+ sky130_fd_sc_hd__dfxtp_1 _16614_ (.D(_01161_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
-    .CLK(clknet_leaf_139_usb_clk),
+    .CLK(clknet_leaf_164_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17567_ (.D(_01162_),
+ sky130_fd_sc_hd__dfxtp_1 _16615_ (.D(_01162_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
-    .CLK(clknet_leaf_139_usb_clk),
+    .CLK(clknet_leaf_164_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17568_ (.D(_01163_),
+ sky130_fd_sc_hd__dfxtp_1 _16616_ (.D(_01163_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ),
-    .CLK(clknet_leaf_161_usb_clk),
+    .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17569_ (.D(_01164_),
+ sky130_fd_sc_hd__dfxtp_1 _16617_ (.D(_01164_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ),
-    .CLK(clknet_leaf_161_usb_clk),
+    .CLK(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17570_ (.D(_01165_),
+ sky130_fd_sc_hd__dfxtp_1 _16618_ (.D(_01165_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ),
-    .CLK(clknet_leaf_161_usb_clk),
+    .CLK(clknet_leaf_183_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17571_ (.D(_01166_),
+ sky130_fd_sc_hd__dfxtp_1 _16619_ (.D(_01166_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ),
+    .CLK(clknet_leaf_183_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16620_ (.D(_01167_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
+    .CLK(clknet_leaf_183_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16621_ (.D(_01168_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
+    .CLK(clknet_leaf_184_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16622_ (.D(_01169_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
+    .CLK(clknet_leaf_184_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16623_ (.D(_01170_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
+    .CLK(clknet_leaf_183_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16624_ (.D(_01171_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16625_ (.D(_01172_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16626_ (.D(_01173_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16627_ (.D(_01174_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16628_ (.D(_01175_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16629_ (.D(_01176_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
+    .CLK(clknet_leaf_163_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16630_ (.D(_01177_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16631_ (.D(_01178_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16632_ (.D(_01179_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16633_ (.D(_01180_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
+    .CLK(clknet_leaf_194_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16634_ (.D(_01181_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16635_ (.D(_01182_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16636_ (.D(_01183_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
+    .CLK(clknet_leaf_194_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16637_ (.D(_01184_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
+    .CLK(clknet_leaf_175_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16638_ (.D(_01185_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
+    .CLK(clknet_leaf_175_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16639_ (.D(_01186_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
+    .CLK(clknet_leaf_194_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16640_ (.D(_01187_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
+    .CLK(clknet_leaf_160_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16641_ (.D(_01188_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
+    .CLK(clknet_leaf_160_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16642_ (.D(_01189_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
+    .CLK(clknet_leaf_160_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16643_ (.D(_01190_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
+    .CLK(clknet_leaf_160_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16644_ (.D(_01191_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
+    .CLK(clknet_leaf_159_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16645_ (.D(_01192_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
+    .CLK(clknet_leaf_160_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16646_ (.D(_01193_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
+    .CLK(clknet_leaf_160_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16647_ (.D(_01194_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
+    .CLK(clknet_leaf_147_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16648_ (.D(_01195_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
+    .CLK(clknet_leaf_140_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16649_ (.D(_01196_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
+    .CLK(clknet_leaf_141_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16650_ (.D(_01197_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
+    .CLK(clknet_leaf_141_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16651_ (.D(_01198_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
+    .CLK(clknet_leaf_142_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16652_ (.D(_01199_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
     .CLK(clknet_leaf_161_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17572_ (.D(_01167_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
-    .CLK(clknet_leaf_160_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17573_ (.D(_01168_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
-    .CLK(clknet_leaf_153_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17574_ (.D(_01169_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
-    .CLK(clknet_leaf_153_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17575_ (.D(_01170_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
-    .CLK(clknet_leaf_153_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17576_ (.D(_01171_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17577_ (.D(_01172_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17578_ (.D(_01173_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
-    .CLK(clknet_leaf_137_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17579_ (.D(_01174_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17580_ (.D(_01175_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17581_ (.D(_01176_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17582_ (.D(_01177_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17583_ (.D(_01178_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17584_ (.D(_01179_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
-    .CLK(clknet_leaf_159_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17585_ (.D(_01180_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
-    .CLK(clknet_leaf_159_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17586_ (.D(_01181_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
-    .CLK(clknet_leaf_159_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17587_ (.D(_01182_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17588_ (.D(_01183_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
-    .CLK(clknet_leaf_158_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17589_ (.D(_01184_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
-    .CLK(clknet_leaf_159_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17590_ (.D(_01185_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
-    .CLK(clknet_leaf_159_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17591_ (.D(_01186_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
-    .CLK(clknet_leaf_158_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17592_ (.D(_01187_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17593_ (.D(_01188_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17594_ (.D(_01189_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17595_ (.D(_01190_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
-    .CLK(clknet_leaf_163_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17596_ (.D(_01191_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
-    .CLK(clknet_leaf_163_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17597_ (.D(_01192_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
-    .CLK(clknet_leaf_130_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17598_ (.D(_01193_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
-    .CLK(clknet_leaf_163_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17599_ (.D(_01194_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
-    .CLK(clknet_leaf_131_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17600_ (.D(_01195_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17601_ (.D(_01196_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17602_ (.D(_01197_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
-    .CLK(clknet_leaf_128_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17603_ (.D(_01198_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17604_ (.D(_01199_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
-    .CLK(clknet_leaf_130_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17605_ (.D(_01200_),
+ sky130_fd_sc_hd__dfxtp_1 _16653_ (.D(_01200_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
-    .CLK(clknet_leaf_130_usb_clk),
+    .CLK(clknet_leaf_161_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17606_ (.D(_01201_),
+ sky130_fd_sc_hd__dfxtp_1 _16654_ (.D(_01201_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
-    .CLK(clknet_leaf_130_usb_clk),
+    .CLK(clknet_leaf_160_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17607_ (.D(_01202_),
+ sky130_fd_sc_hd__dfxtp_1 _16655_ (.D(_01202_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
-    .CLK(clknet_leaf_130_usb_clk),
+    .CLK(clknet_leaf_161_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17608_ (.D(_01203_),
+ sky130_fd_sc_hd__dfxtp_1 _16656_ (.D(_01203_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
-    .CLK(clknet_leaf_160_usb_clk),
+    .CLK(clknet_leaf_174_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17609_ (.D(_01204_),
+ sky130_fd_sc_hd__dfxtp_1 _16657_ (.D(_01204_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ),
-    .CLK(clknet_leaf_160_usb_clk),
+    .CLK(clknet_leaf_196_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17610_ (.D(_01205_),
+ sky130_fd_sc_hd__dfxtp_1 _16658_ (.D(_01205_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ),
-    .CLK(clknet_leaf_153_usb_clk),
+    .CLK(clknet_leaf_196_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17611_ (.D(_01206_),
+ sky130_fd_sc_hd__dfxtp_1 _16659_ (.D(_01206_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ),
+    .CLK(clknet_leaf_175_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16660_ (.D(_01207_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
+    .CLK(clknet_leaf_175_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16661_ (.D(_01208_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
+    .CLK(clknet_leaf_175_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16662_ (.D(_01209_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
+    .CLK(clknet_leaf_175_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16663_ (.D(_01210_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
+    .CLK(clknet_leaf_175_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16664_ (.D(_01211_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
+    .CLK(clknet_leaf_154_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16665_ (.D(_01212_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16666_ (.D(_01213_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
+    .CLK(clknet_leaf_152_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16667_ (.D(_01214_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
     .CLK(clknet_leaf_153_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17612_ (.D(_01207_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
-    .CLK(clknet_leaf_160_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17613_ (.D(_01208_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
-    .CLK(clknet_leaf_154_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17614_ (.D(_01209_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
-    .CLK(clknet_leaf_155_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17615_ (.D(_01210_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
-    .CLK(clknet_leaf_154_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17616_ (.D(_01211_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17617_ (.D(_01212_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17618_ (.D(_01213_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17619_ (.D(_01214_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17620_ (.D(_01215_),
+ sky130_fd_sc_hd__dfxtp_1 _16668_ (.D(_01215_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
-    .CLK(clknet_leaf_129_usb_clk),
+    .CLK(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17621_ (.D(_01216_),
+ sky130_fd_sc_hd__dfxtp_1 _16669_ (.D(_01216_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
-    .CLK(clknet_leaf_165_usb_clk),
+    .CLK(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17622_ (.D(_01217_),
+ sky130_fd_sc_hd__dfxtp_1 _16670_ (.D(_01217_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
-    .CLK(clknet_leaf_165_usb_clk),
+    .CLK(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17623_ (.D(_01218_),
+ sky130_fd_sc_hd__dfxtp_1 _16671_ (.D(_01218_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
-    .CLK(clknet_leaf_129_usb_clk),
+    .CLK(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17624_ (.D(_01219_),
+ sky130_fd_sc_hd__dfxtp_1 _16672_ (.D(_01219_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
     .CLK(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17625_ (.D(_01220_),
+ sky130_fd_sc_hd__dfxtp_1 _16673_ (.D(_01220_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
-    .CLK(clknet_leaf_180_usb_clk),
+    .CLK(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17626_ (.D(_01221_),
+ sky130_fd_sc_hd__dfxtp_1 _16674_ (.D(_01221_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
     .CLK(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17627_ (.D(_01222_),
+ sky130_fd_sc_hd__dfxtp_1 _16675_ (.D(_01222_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ),
     .CLK(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17628_ (.D(_01223_),
+ sky130_fd_sc_hd__dfxtp_1 _16676_ (.D(_01223_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ),
-    .CLK(clknet_leaf_181_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17629_ (.D(_01224_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
-    .CLK(clknet_leaf_149_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17630_ (.D(_01225_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17631_ (.D(_01226_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
-    .CLK(clknet_leaf_181_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17632_ (.D(_01227_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
-    .CLK(clknet_leaf_147_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17633_ (.D(_01228_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
-    .CLK(clknet_leaf_151_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17634_ (.D(_01229_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
-    .CLK(clknet_leaf_147_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17635_ (.D(_01230_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
-    .CLK(clknet_leaf_147_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17636_ (.D(_01231_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
-    .CLK(clknet_leaf_147_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17637_ (.D(_01232_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
-    .CLK(clknet_leaf_148_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17638_ (.D(_01233_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
-    .CLK(clknet_leaf_147_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17639_ (.D(_01234_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
-    .CLK(clknet_leaf_148_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17640_ (.D(_01235_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
-    .CLK(clknet_leaf_179_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17641_ (.D(_01236_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
-    .CLK(clknet_leaf_181_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17642_ (.D(_01237_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17643_ (.D(_01238_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
-    .CLK(clknet_leaf_180_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17644_ (.D(_01239_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17645_ (.D(_01240_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17646_ (.D(_01241_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17647_ (.D(_01242_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17648_ (.D(_01243_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
-    .CLK(clknet_leaf_149_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17649_ (.D(_01244_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17650_ (.D(_01245_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17651_ (.D(_01246_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
-    .CLK(clknet_leaf_150_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17652_ (.D(_01247_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
-    .CLK(clknet_leaf_148_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17653_ (.D(_01248_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
-    .CLK(clknet_leaf_149_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17654_ (.D(_01249_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
-    .CLK(clknet_leaf_148_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17655_ (.D(_01250_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
-    .CLK(clknet_leaf_148_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17656_ (.D(_01251_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
-    .CLK(clknet_leaf_152_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17657_ (.D(_01252_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
-    .CLK(clknet_leaf_152_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17658_ (.D(_01253_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
-    .CLK(clknet_leaf_151_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17659_ (.D(_01254_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
-    .CLK(clknet_leaf_152_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17660_ (.D(_01255_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
-    .CLK(clknet_leaf_154_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17661_ (.D(_01256_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
-    .CLK(clknet_leaf_151_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17662_ (.D(_01257_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
-    .CLK(clknet_leaf_151_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17663_ (.D(_01258_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
-    .CLK(clknet_leaf_145_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17664_ (.D(_01259_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
-    .CLK(clknet_leaf_152_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17665_ (.D(_01260_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
-    .CLK(clknet_leaf_152_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17666_ (.D(_01261_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
-    .CLK(clknet_leaf_153_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17667_ (.D(_01262_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
-    .CLK(clknet_leaf_153_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17668_ (.D(_01263_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
-    .CLK(clknet_leaf_154_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17669_ (.D(_01264_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
-    .CLK(clknet_leaf_154_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17670_ (.D(_01265_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
-    .CLK(clknet_leaf_154_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17671_ (.D(_01266_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
     .CLK(clknet_leaf_155_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17672_ (.D(_01267_),
+ sky130_fd_sc_hd__dfxtp_1 _16677_ (.D(_01224_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
+    .CLK(clknet_leaf_181_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16678_ (.D(_01225_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16679_ (.D(_01226_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16680_ (.D(_01227_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
+    .CLK(clknet_leaf_60_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16681_ (.D(_01228_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16682_ (.D(_01229_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16683_ (.D(_01230_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
+    .CLK(clknet_leaf_60_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16684_ (.D(_01231_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
+    .CLK(clknet_leaf_62_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16685_ (.D(_01232_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
+    .CLK(clknet_leaf_62_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16686_ (.D(_01233_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
+    .CLK(clknet_leaf_60_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16687_ (.D(_01234_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
+    .CLK(clknet_leaf_60_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16688_ (.D(_01235_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16689_ (.D(_01236_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
+    .CLK(clknet_leaf_154_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16690_ (.D(_01237_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16691_ (.D(_01238_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16692_ (.D(_01239_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16693_ (.D(_01240_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
+    .CLK(clknet_leaf_153_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16694_ (.D(_01241_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
+    .CLK(clknet_leaf_153_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16695_ (.D(_01242_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
+    .CLK(clknet_leaf_155_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16696_ (.D(_01243_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
+    .CLK(clknet_leaf_187_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16697_ (.D(_01244_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
+    .CLK(clknet_leaf_184_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16698_ (.D(_01245_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
+    .CLK(clknet_leaf_184_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16699_ (.D(_01246_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
+    .CLK(clknet_leaf_187_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16700_ (.D(_01247_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
+    .CLK(clknet_leaf_184_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16701_ (.D(_01248_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
+    .CLK(clknet_leaf_185_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16702_ (.D(_01249_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
+    .CLK(clknet_leaf_185_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16703_ (.D(_01250_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
+    .CLK(clknet_leaf_184_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16704_ (.D(_01251_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16705_ (.D(_01252_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16706_ (.D(_01253_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16707_ (.D(_01254_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16708_ (.D(_01255_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
+    .CLK(clknet_leaf_172_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16709_ (.D(_01256_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
+    .CLK(clknet_leaf_172_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16710_ (.D(_01257_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
+    .CLK(clknet_leaf_172_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16711_ (.D(_01258_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
+    .CLK(clknet_leaf_172_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16712_ (.D(_01259_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
+    .CLK(clknet_leaf_177_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16713_ (.D(_01260_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16714_ (.D(_01261_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
+    .CLK(clknet_leaf_175_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16715_ (.D(_01262_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16716_ (.D(_01263_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
+    .CLK(clknet_leaf_177_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16717_ (.D(_01264_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16718_ (.D(_01265_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
+    .CLK(clknet_leaf_179_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16719_ (.D(_01266_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
+    .CLK(clknet_leaf_180_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16720_ (.D(_01267_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ),
-    .CLK(clknet_leaf_178_usb_clk),
+    .CLK(clknet_leaf_195_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17673_ (.D(_01268_),
+ sky130_fd_sc_hd__dfxtp_1 _16721_ (.D(_01268_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17674_ (.D(_01269_),
+ sky130_fd_sc_hd__dfxtp_1 _16722_ (.D(_01269_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_196_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17675_ (.D(_01270_),
+ sky130_fd_sc_hd__dfxtp_1 _16723_ (.D(_01270_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ),
-    .CLK(clknet_leaf_178_usb_clk),
+    .CLK(clknet_leaf_195_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17676_ (.D(_01271_),
+ sky130_fd_sc_hd__dfxtp_1 _16724_ (.D(_01271_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17677_ (.D(_01272_),
+ sky130_fd_sc_hd__dfxtp_1 _16725_ (.D(_01272_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_174_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17678_ (.D(_01273_),
+ sky130_fd_sc_hd__dfxtp_1 _16726_ (.D(_01273_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_171_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17679_ (.D(_01274_),
+ sky130_fd_sc_hd__dfxtp_1 _16727_ (.D(_01274_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17680_ (.D(_01275_),
+ sky130_fd_sc_hd__dfxtp_1 _16728_ (.D(_01275_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ),
-    .CLK(clknet_leaf_178_usb_clk),
+    .CLK(clknet_leaf_158_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17681_ (.D(_01276_),
+ sky130_fd_sc_hd__dfxtp_1 _16729_ (.D(_01276_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_157_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17682_ (.D(_01277_),
+ sky130_fd_sc_hd__dfxtp_1 _16730_ (.D(_01277_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ),
-    .CLK(clknet_leaf_178_usb_clk),
+    .CLK(clknet_leaf_158_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17683_ (.D(_01278_),
+ sky130_fd_sc_hd__dfxtp_1 _16731_ (.D(_01278_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ),
-    .CLK(clknet_leaf_178_usb_clk),
+    .CLK(clknet_leaf_157_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17684_ (.D(_01279_),
+ sky130_fd_sc_hd__dfxtp_1 _16732_ (.D(_01279_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_158_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17685_ (.D(_01280_),
+ sky130_fd_sc_hd__dfxtp_1 _16733_ (.D(_01280_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_154_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17686_ (.D(_01281_),
+ sky130_fd_sc_hd__dfxtp_1 _16734_ (.D(_01281_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ),
-    .CLK(clknet_leaf_152_usb_clk),
+    .CLK(clknet_leaf_149_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17687_ (.D(_01282_),
+ sky130_fd_sc_hd__dfxtp_1 _16735_ (.D(_01282_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ),
+    .CLK(clknet_leaf_158_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16736_ (.D(_01283_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
+    .CLK(clknet_leaf_181_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16737_ (.D(_01284_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
+    .CLK(clknet_leaf_183_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16738_ (.D(_01285_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
+    .CLK(clknet_leaf_179_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16739_ (.D(_01286_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
+    .CLK(clknet_leaf_181_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16740_ (.D(_01287_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
+    .CLK(clknet_leaf_182_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16741_ (.D(_01288_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
+    .CLK(clknet_leaf_182_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16742_ (.D(_01289_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
+    .CLK(clknet_leaf_184_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16743_ (.D(_01290_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
+    .CLK(clknet_leaf_182_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16744_ (.D(_01291_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
+    .CLK(clknet_leaf_147_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16745_ (.D(_01292_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
+    .CLK(clknet_leaf_147_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16746_ (.D(_01293_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
+    .CLK(clknet_leaf_147_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16747_ (.D(_01294_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
+    .CLK(clknet_leaf_147_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16748_ (.D(_01295_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
+    .CLK(clknet_leaf_148_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16749_ (.D(_01296_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
+    .CLK(clknet_leaf_147_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16750_ (.D(_01297_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
+    .CLK(clknet_leaf_147_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16751_ (.D(_01298_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
+    .CLK(clknet_leaf_148_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16752_ (.D(_01299_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
     .CLK(clknet_leaf_152_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17688_ (.D(_01283_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
-    .CLK(clknet_leaf_161_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17689_ (.D(_01284_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
-    .CLK(clknet_leaf_161_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17690_ (.D(_01285_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
-    .CLK(clknet_leaf_161_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17691_ (.D(_01286_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
-    .CLK(clknet_leaf_169_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17692_ (.D(_01287_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
-    .CLK(clknet_leaf_161_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17693_ (.D(_01288_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
-    .CLK(clknet_leaf_170_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17694_ (.D(_01289_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
-    .CLK(clknet_leaf_170_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17695_ (.D(_01290_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
-    .CLK(clknet_leaf_161_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17696_ (.D(_01291_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17697_ (.D(_01292_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
-    .CLK(clknet_leaf_169_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17698_ (.D(_01293_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
-    .CLK(clknet_leaf_169_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17699_ (.D(_01294_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
-    .CLK(clknet_leaf_166_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17700_ (.D(_01295_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17701_ (.D(_01296_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17702_ (.D(_01297_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17703_ (.D(_01298_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17704_ (.D(_01299_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
-    .CLK(clknet_leaf_168_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17705_ (.D(_01300_),
+ sky130_fd_sc_hd__dfxtp_1 _16753_ (.D(_01300_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ),
-    .CLK(clknet_leaf_169_usb_clk),
+    .CLK(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17706_ (.D(_01301_),
+ sky130_fd_sc_hd__dfxtp_1 _16754_ (.D(_01301_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ),
-    .CLK(clknet_leaf_169_usb_clk),
+    .CLK(clknet_leaf_151_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17707_ (.D(_01302_),
+ sky130_fd_sc_hd__dfxtp_1 _16755_ (.D(_01302_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ),
-    .CLK(clknet_leaf_168_usb_clk),
+    .CLK(clknet_leaf_152_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17708_ (.D(_01303_),
+ sky130_fd_sc_hd__dfxtp_1 _16756_ (.D(_01303_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ),
-    .CLK(clknet_leaf_169_usb_clk),
+    .CLK(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17709_ (.D(_01304_),
+ sky130_fd_sc_hd__dfxtp_1 _16757_ (.D(_01304_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ),
-    .CLK(clknet_leaf_170_usb_clk),
+    .CLK(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17710_ (.D(_01305_),
+ sky130_fd_sc_hd__dfxtp_1 _16758_ (.D(_01305_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ),
-    .CLK(clknet_leaf_170_usb_clk),
+    .CLK(clknet_leaf_151_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17711_ (.D(_01306_),
+ sky130_fd_sc_hd__dfxtp_1 _16759_ (.D(_01306_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ),
+    .CLK(clknet_leaf_150_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16760_ (.D(_01307_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
+    .CLK(clknet_4_0_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16761_ (.D(_01308_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16762_ (.D(_01309_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16763_ (.D(_01310_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16764_ (.D(_01311_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16765_ (.D(_01312_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
+    .CLK(clknet_leaf_176_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16766_ (.D(_01313_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
+    .CLK(clknet_leaf_177_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16767_ (.D(_01314_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
+    .CLK(clknet_leaf_189_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16768_ (.D(_01315_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16769_ (.D(_01316_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16770_ (.D(_01317_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16771_ (.D(_01318_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16772_ (.D(_01319_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16773_ (.D(_01320_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16774_ (.D(_01321_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16775_ (.D(_01322_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16776_ (.D(_01323_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
     .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17712_ (.D(_01307_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17713_ (.D(_01308_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17714_ (.D(_01309_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17715_ (.D(_01310_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
-    .CLK(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17716_ (.D(_01311_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
-    .CLK(clknet_leaf_159_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17717_ (.D(_01312_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
-    .CLK(clknet_leaf_160_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17718_ (.D(_01313_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
-    .CLK(clknet_leaf_160_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17719_ (.D(_01314_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
-    .CLK(clknet_leaf_161_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17720_ (.D(_01315_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
-    .CLK(clknet_leaf_62_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17721_ (.D(_01316_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
-    .CLK(clknet_leaf_62_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17722_ (.D(_01317_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
-    .CLK(clknet_leaf_62_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17723_ (.D(_01318_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
-    .CLK(clknet_leaf_8_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17724_ (.D(_01319_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
-    .CLK(clknet_leaf_62_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17725_ (.D(_01320_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
-    .CLK(clknet_leaf_61_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17726_ (.D(_01321_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
-    .CLK(clknet_leaf_61_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17727_ (.D(_01322_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
-    .CLK(clknet_leaf_62_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17728_ (.D(_01323_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
-    .CLK(clknet_leaf_8_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17729_ (.D(_01324_),
+ sky130_fd_sc_hd__dfxtp_1 _16777_ (.D(_01324_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
-    .CLK(clknet_leaf_62_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17730_ (.D(_01325_),
+ sky130_fd_sc_hd__dfxtp_1 _16778_ (.D(_01325_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
-    .CLK(clknet_leaf_8_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17731_ (.D(_01326_),
+ sky130_fd_sc_hd__dfxtp_1 _16779_ (.D(_01326_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
-    .CLK(clknet_leaf_8_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17732_ (.D(_01327_),
+ sky130_fd_sc_hd__dfxtp_1 _16780_ (.D(_01327_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
-    .CLK(clknet_leaf_61_usb_clk),
+    .CLK(clknet_leaf_3_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17733_ (.D(_01328_),
+ sky130_fd_sc_hd__dfxtp_1 _16781_ (.D(_01328_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
-    .CLK(clknet_leaf_8_usb_clk),
+    .CLK(clknet_leaf_3_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17734_ (.D(_01329_),
+ sky130_fd_sc_hd__dfxtp_1 _16782_ (.D(_01329_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
-    .CLK(clknet_leaf_61_usb_clk),
+    .CLK(clknet_leaf_3_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17735_ (.D(_01330_),
+ sky130_fd_sc_hd__dfxtp_1 _16783_ (.D(_01330_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
-    .CLK(clknet_leaf_61_usb_clk),
+    .CLK(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17736_ (.D(_01331_),
+ sky130_fd_sc_hd__dfxtp_1 _16784_ (.D(_01331_),
     .Q(\u_uart_core.u_txfifo.mem[5][0] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17737_ (.D(_01332_),
+ sky130_fd_sc_hd__dfxtp_1 _16785_ (.D(_01332_),
     .Q(\u_uart_core.u_txfifo.mem[5][1] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17738_ (.D(_01333_),
+ sky130_fd_sc_hd__dfxtp_1 _16786_ (.D(_01333_),
     .Q(\u_uart_core.u_txfifo.mem[5][2] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17739_ (.D(_01334_),
+ sky130_fd_sc_hd__dfxtp_1 _16787_ (.D(_01334_),
     .Q(\u_uart_core.u_txfifo.mem[5][3] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17740_ (.D(_01335_),
+ sky130_fd_sc_hd__dfxtp_1 _16788_ (.D(_01335_),
     .Q(\u_uart_core.u_txfifo.mem[5][4] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17741_ (.D(_01336_),
+ sky130_fd_sc_hd__dfxtp_1 _16789_ (.D(_01336_),
     .Q(\u_uart_core.u_txfifo.mem[5][5] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17742_ (.D(_01337_),
+ sky130_fd_sc_hd__dfxtp_1 _16790_ (.D(_01337_),
     .Q(\u_uart_core.u_txfifo.mem[5][6] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17743_ (.D(_01338_),
+ sky130_fd_sc_hd__dfxtp_1 _16791_ (.D(_01338_),
     .Q(\u_uart_core.u_txfifo.mem[5][7] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17744_ (.D(_01339_),
+ sky130_fd_sc_hd__dfxtp_1 _16792_ (.D(_01339_),
     .Q(\u_uart_core.u_txfifo.mem[4][0] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17745_ (.D(_01340_),
+ sky130_fd_sc_hd__dfxtp_1 _16793_ (.D(_01340_),
     .Q(\u_uart_core.u_txfifo.mem[4][1] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17746_ (.D(_01341_),
+ sky130_fd_sc_hd__dfxtp_1 _16794_ (.D(_01341_),
     .Q(\u_uart_core.u_txfifo.mem[4][2] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17747_ (.D(_01342_),
+ sky130_fd_sc_hd__dfxtp_1 _16795_ (.D(_01342_),
     .Q(\u_uart_core.u_txfifo.mem[4][3] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17748_ (.D(_01343_),
+ sky130_fd_sc_hd__dfxtp_1 _16796_ (.D(_01343_),
     .Q(\u_uart_core.u_txfifo.mem[4][4] ),
     .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17749_ (.D(_01344_),
+ sky130_fd_sc_hd__dfxtp_1 _16797_ (.D(_01344_),
     .Q(\u_uart_core.u_txfifo.mem[4][5] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17750_ (.D(_01345_),
+ sky130_fd_sc_hd__dfxtp_1 _16798_ (.D(_01345_),
     .Q(\u_uart_core.u_txfifo.mem[4][6] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17751_ (.D(_01346_),
+ sky130_fd_sc_hd__dfxtp_1 _16799_ (.D(_01346_),
     .Q(\u_uart_core.u_txfifo.mem[4][7] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17752_ (.D(_01347_),
+ sky130_fd_sc_hd__dfxtp_1 _16800_ (.D(_01347_),
     .Q(\u_uart_core.u_txfifo.mem[13][0] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17753_ (.D(_01348_),
+ sky130_fd_sc_hd__dfxtp_1 _16801_ (.D(_01348_),
     .Q(\u_uart_core.u_txfifo.mem[13][1] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17754_ (.D(_01349_),
+ sky130_fd_sc_hd__dfxtp_1 _16802_ (.D(_01349_),
     .Q(\u_uart_core.u_txfifo.mem[13][2] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17755_ (.D(_01350_),
+ sky130_fd_sc_hd__dfxtp_1 _16803_ (.D(_01350_),
     .Q(\u_uart_core.u_txfifo.mem[13][3] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17756_ (.D(_01351_),
+ sky130_fd_sc_hd__dfxtp_1 _16804_ (.D(_01351_),
     .Q(\u_uart_core.u_txfifo.mem[13][4] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17757_ (.D(_01352_),
+ sky130_fd_sc_hd__dfxtp_1 _16805_ (.D(_01352_),
     .Q(\u_uart_core.u_txfifo.mem[13][5] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17758_ (.D(_01353_),
+ sky130_fd_sc_hd__dfxtp_1 _16806_ (.D(_01353_),
     .Q(\u_uart_core.u_txfifo.mem[13][6] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17759_ (.D(_01354_),
+ sky130_fd_sc_hd__dfxtp_1 _16807_ (.D(_01354_),
     .Q(\u_uart_core.u_txfifo.mem[13][7] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17760_ (.D(_01355_),
+ sky130_fd_sc_hd__dfxtp_1 _16808_ (.D(_01355_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17761_ (.D(_01356_),
+ sky130_fd_sc_hd__dfxtp_1 _16809_ (.D(_01356_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
-    .CLK(clknet_leaf_5_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17762_ (.D(_01357_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
-    .CLK(clknet_leaf_5_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17763_ (.D(_01358_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
-    .CLK(clknet_leaf_5_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17764_ (.D(_01359_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
-    .CLK(clknet_leaf_8_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17765_ (.D(_01360_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
-    .CLK(clknet_leaf_8_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17766_ (.D(_01361_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
-    .CLK(clknet_leaf_7_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17767_ (.D(_01362_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
-    .CLK(clknet_leaf_8_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17768_ (.D(_01363_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17769_ (.D(_01364_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17770_ (.D(_01365_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17771_ (.D(_01366_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
-    .CLK(clknet_leaf_10_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17772_ (.D(_01367_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17773_ (.D(_01368_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17774_ (.D(_01369_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
     .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17775_ (.D(_01370_),
+ sky130_fd_sc_hd__dfxtp_1 _16810_ (.D(_01357_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
+    .CLK(clknet_leaf_13_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16811_ (.D(_01358_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
+    .CLK(clknet_leaf_11_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16812_ (.D(_01359_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
+    .CLK(clknet_leaf_13_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16813_ (.D(_01360_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
+    .CLK(clknet_leaf_13_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16814_ (.D(_01361_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
+    .CLK(clknet_leaf_13_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16815_ (.D(_01362_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
+    .CLK(clknet_leaf_13_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16816_ (.D(_01363_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
+    .CLK(clknet_leaf_11_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16817_ (.D(_01364_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
+    .CLK(clknet_leaf_11_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16818_ (.D(_01365_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
+    .CLK(clknet_leaf_11_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16819_ (.D(_01366_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
+    .CLK(clknet_leaf_11_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16820_ (.D(_01367_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
+    .CLK(clknet_leaf_11_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16821_ (.D(_01368_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
+    .CLK(clknet_leaf_10_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16822_ (.D(_01369_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
+    .CLK(clknet_leaf_10_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16823_ (.D(_01370_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
     .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17776_ (.D(_01371_),
+ sky130_fd_sc_hd__dfxtp_1 _16824_ (.D(_01371_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17777_ (.D(_01372_),
+ sky130_fd_sc_hd__dfxtp_1 _16825_ (.D(_01372_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17778_ (.D(_01373_),
+ sky130_fd_sc_hd__dfxtp_1 _16826_ (.D(_01373_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
-    .CLK(clknet_leaf_10_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17779_ (.D(_01374_),
+ sky130_fd_sc_hd__dfxtp_1 _16827_ (.D(_01374_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17780_ (.D(_01375_),
+ sky130_fd_sc_hd__dfxtp_1 _16828_ (.D(_01375_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
-    .CLK(clknet_leaf_10_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17781_ (.D(_01376_),
+ sky130_fd_sc_hd__dfxtp_1 _16829_ (.D(_01376_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17782_ (.D(_01377_),
+ sky130_fd_sc_hd__dfxtp_1 _16830_ (.D(_01377_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17783_ (.D(_01378_),
+ sky130_fd_sc_hd__dfxtp_1 _16831_ (.D(_01378_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17784_ (.D(_01379_),
+ sky130_fd_sc_hd__dfxtp_1 _16832_ (.D(_01379_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17785_ (.D(_01380_),
+ sky130_fd_sc_hd__dfxtp_1 _16833_ (.D(_01380_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17786_ (.D(_01381_),
+ sky130_fd_sc_hd__dfxtp_1 _16834_ (.D(_01381_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17787_ (.D(_01382_),
+ sky130_fd_sc_hd__dfxtp_1 _16835_ (.D(_01382_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17788_ (.D(_01383_),
+ sky130_fd_sc_hd__dfxtp_1 _16836_ (.D(_01383_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17789_ (.D(_01384_),
+ sky130_fd_sc_hd__dfxtp_1 _16837_ (.D(_01384_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17790_ (.D(_01385_),
+ sky130_fd_sc_hd__dfxtp_1 _16838_ (.D(_01385_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][40] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17791_ (.D(_01386_),
+ sky130_fd_sc_hd__dfxtp_1 _16839_ (.D(_01386_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17792_ (.D(_01387_),
+ sky130_fd_sc_hd__dfxtp_1 _16840_ (.D(_01387_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][42] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17793_ (.D(_01388_),
+ sky130_fd_sc_hd__dfxtp_1 _16841_ (.D(_01388_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17794_ (.D(_01389_),
+ sky130_fd_sc_hd__dfxtp_1 _16842_ (.D(_01389_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
     .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17795_ (.D(_01390_),
+ sky130_fd_sc_hd__dfxtp_1 _16843_ (.D(_01390_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17796_ (.D(_01391_),
+ sky130_fd_sc_hd__dfxtp_1 _16844_ (.D(_01391_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17797_ (.D(_01392_),
+ sky130_fd_sc_hd__dfxtp_1 _16845_ (.D(_01392_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17798_ (.D(_01393_),
+ sky130_fd_sc_hd__dfxtp_1 _16846_ (.D(_01393_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17799_ (.D(_01394_),
+ sky130_fd_sc_hd__dfxtp_1 _16847_ (.D(_01394_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17800_ (.D(_01395_),
+ sky130_fd_sc_hd__dfxtp_1 _16848_ (.D(_01395_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17801_ (.D(_01396_),
+ sky130_fd_sc_hd__dfxtp_1 _16849_ (.D(_01396_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
-    .CLK(clknet_leaf_9_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17802_ (.D(_01397_),
+ sky130_fd_sc_hd__dfxtp_1 _16850_ (.D(_01397_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
-    .CLK(clknet_leaf_9_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17803_ (.D(_01398_),
+ sky130_fd_sc_hd__dfxtp_1 _16851_ (.D(_01398_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
-    .CLK(clknet_leaf_9_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17804_ (.D(_01399_),
+ sky130_fd_sc_hd__dfxtp_1 _16852_ (.D(_01399_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
-    .CLK(clknet_leaf_10_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17805_ (.D(_01400_),
+ sky130_fd_sc_hd__dfxtp_1 _16853_ (.D(_01400_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
-    .CLK(clknet_leaf_9_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17806_ (.D(_01401_),
+ sky130_fd_sc_hd__dfxtp_1 _16854_ (.D(_01401_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17807_ (.D(_01402_),
+ sky130_fd_sc_hd__dfxtp_1 _16855_ (.D(_01402_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17808_ (.D(_01403_),
+ sky130_fd_sc_hd__dfxtp_1 _16856_ (.D(_01403_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17809_ (.D(_01404_),
+ sky130_fd_sc_hd__dfxtp_1 _16857_ (.D(_01404_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17810_ (.D(_01405_),
+ sky130_fd_sc_hd__dfxtp_1 _16858_ (.D(_01405_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17811_ (.D(_01406_),
+ sky130_fd_sc_hd__dfxtp_1 _16859_ (.D(_01406_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
-    .CLK(clknet_leaf_10_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17812_ (.D(_01407_),
+ sky130_fd_sc_hd__dfxtp_1 _16860_ (.D(_01407_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17813_ (.D(_01408_),
+ sky130_fd_sc_hd__dfxtp_1 _16861_ (.D(_01408_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
-    .CLK(clknet_leaf_10_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17814_ (.D(_01409_),
+ sky130_fd_sc_hd__dfxtp_1 _16862_ (.D(_01409_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17815_ (.D(_01410_),
+ sky130_fd_sc_hd__dfxtp_1 _16863_ (.D(_01410_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17816_ (.D(_01411_),
+ sky130_fd_sc_hd__dfxtp_1 _16864_ (.D(_01411_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
+    .CLK(clknet_leaf_9_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16865_ (.D(_01412_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
+    .CLK(clknet_leaf_9_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16866_ (.D(_01413_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
+    .CLK(clknet_leaf_8_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16867_ (.D(_01414_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
+    .CLK(clknet_leaf_9_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16868_ (.D(_01415_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
+    .CLK(clknet_leaf_8_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16869_ (.D(_01416_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
+    .CLK(clknet_leaf_8_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16870_ (.D(_01417_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
+    .CLK(clknet_leaf_7_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16871_ (.D(_01418_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
+    .CLK(clknet_leaf_7_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16872_ (.D(_01419_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
+    .CLK(clknet_leaf_7_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16873_ (.D(_01420_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
+    .CLK(clknet_leaf_7_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16874_ (.D(_01421_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
+    .CLK(clknet_leaf_8_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16875_ (.D(_01422_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
     .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17817_ (.D(_01412_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
-    .CLK(clknet_leaf_12_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17818_ (.D(_01413_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
-    .CLK(clknet_leaf_12_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17819_ (.D(_01414_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
-    .CLK(clknet_leaf_12_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17820_ (.D(_01415_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
-    .CLK(clknet_leaf_12_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17821_ (.D(_01416_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
-    .CLK(clknet_leaf_14_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17822_ (.D(_01417_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
-    .CLK(clknet_leaf_14_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17823_ (.D(_01418_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
-    .CLK(clknet_leaf_14_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17824_ (.D(_01419_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
-    .CLK(clknet_leaf_14_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17825_ (.D(_01420_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
-    .CLK(clknet_leaf_15_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17826_ (.D(_01421_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17827_ (.D(_01422_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
-    .CLK(clknet_leaf_5_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17828_ (.D(_01423_),
+ sky130_fd_sc_hd__dfxtp_1 _16876_ (.D(_01423_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17829_ (.D(_01424_),
+ sky130_fd_sc_hd__dfxtp_1 _16877_ (.D(_01424_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17830_ (.D(_01425_),
+ sky130_fd_sc_hd__dfxtp_1 _16878_ (.D(_01425_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17831_ (.D(_01426_),
+ sky130_fd_sc_hd__dfxtp_1 _16879_ (.D(_01426_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_12_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17832_ (.D(_01427_),
+ sky130_fd_sc_hd__dfxtp_1 _16880_ (.D(_01427_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17833_ (.D(_01428_),
+ sky130_fd_sc_hd__dfxtp_1 _16881_ (.D(_01428_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_12_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17834_ (.D(_01429_),
+ sky130_fd_sc_hd__dfxtp_1 _16882_ (.D(_01429_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
-    .CLK(clknet_leaf_9_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17835_ (.D(_01430_),
+ sky130_fd_sc_hd__dfxtp_1 _16883_ (.D(_01430_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
-    .CLK(clknet_leaf_9_app_clk),
+    .CLK(clknet_leaf_12_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17836_ (.D(_01431_),
+ sky130_fd_sc_hd__dfxtp_1 _16884_ (.D(_01431_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
-    .CLK(clknet_leaf_9_app_clk),
+    .CLK(clknet_leaf_12_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17837_ (.D(_01432_),
+ sky130_fd_sc_hd__dfxtp_1 _16885_ (.D(_01432_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
-    .CLK(clknet_leaf_9_app_clk),
+    .CLK(clknet_leaf_12_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17838_ (.D(_01433_),
+ sky130_fd_sc_hd__dfxtp_1 _16886_ (.D(_01433_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17839_ (.D(_01434_),
+ sky130_fd_sc_hd__dfxtp_1 _16887_ (.D(_01434_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17840_ (.D(_01435_),
+ sky130_fd_sc_hd__dfxtp_1 _16888_ (.D(_01435_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
     .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17841_ (.D(_01436_),
+ sky130_fd_sc_hd__dfxtp_1 _16889_ (.D(_01436_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
     .CLK(clknet_leaf_11_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17842_ (.D(_01437_),
+ sky130_fd_sc_hd__dfxtp_1 _16890_ (.D(_01437_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17843_ (.D(_01438_),
+ sky130_fd_sc_hd__dfxtp_1 _16891_ (.D(_01438_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17844_ (.D(_01439_),
+ sky130_fd_sc_hd__dfxtp_1 _16892_ (.D(_01439_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17845_ (.D(_01440_),
+ sky130_fd_sc_hd__dfxtp_1 _16893_ (.D(_01440_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17846_ (.D(_01441_),
+ sky130_fd_sc_hd__dfxtp_1 _16894_ (.D(_01441_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17847_ (.D(_01442_),
+ sky130_fd_sc_hd__dfxtp_1 _16895_ (.D(_01442_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17848_ (.D(_01443_),
+ sky130_fd_sc_hd__dfxtp_1 _16896_ (.D(_01443_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
-    .CLK(clknet_leaf_13_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17849_ (.D(_01444_),
+ sky130_fd_sc_hd__dfxtp_1 _16897_ (.D(_01444_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17850_ (.D(_01445_),
+ sky130_fd_sc_hd__dfxtp_1 _16898_ (.D(_01445_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17851_ (.D(_01446_),
+ sky130_fd_sc_hd__dfxtp_1 _16899_ (.D(_01446_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
-    .CLK(clknet_leaf_13_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17852_ (.D(_01447_),
+ sky130_fd_sc_hd__dfxtp_1 _16900_ (.D(_01447_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
-    .CLK(clknet_leaf_13_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17853_ (.D(_01448_),
+ sky130_fd_sc_hd__dfxtp_1 _16901_ (.D(_01448_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17854_ (.D(_01449_),
+ sky130_fd_sc_hd__dfxtp_1 _16902_ (.D(_01449_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17855_ (.D(_01450_),
+ sky130_fd_sc_hd__dfxtp_1 _16903_ (.D(_01450_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17856_ (.D(_01451_),
+ sky130_fd_sc_hd__dfxtp_1 _16904_ (.D(_01451_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][40] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17857_ (.D(_01452_),
+ sky130_fd_sc_hd__dfxtp_1 _16905_ (.D(_01452_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17858_ (.D(_01453_),
+ sky130_fd_sc_hd__dfxtp_1 _16906_ (.D(_01453_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][42] ),
-    .CLK(clknet_leaf_16_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17859_ (.D(_01454_),
+ sky130_fd_sc_hd__dfxtp_1 _16907_ (.D(_01454_),
     .Q(\u_uart_core.u_txfifo.mem[9][0] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17860_ (.D(_01455_),
+ sky130_fd_sc_hd__dfxtp_1 _16908_ (.D(_01455_),
     .Q(\u_uart_core.u_txfifo.mem[9][1] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17861_ (.D(_01456_),
+ sky130_fd_sc_hd__dfxtp_1 _16909_ (.D(_01456_),
     .Q(\u_uart_core.u_txfifo.mem[9][2] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17862_ (.D(_01457_),
+ sky130_fd_sc_hd__dfxtp_1 _16910_ (.D(_01457_),
     .Q(\u_uart_core.u_txfifo.mem[9][3] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17863_ (.D(_01458_),
+ sky130_fd_sc_hd__dfxtp_1 _16911_ (.D(_01458_),
     .Q(\u_uart_core.u_txfifo.mem[9][4] ),
     .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17864_ (.D(_01459_),
+ sky130_fd_sc_hd__dfxtp_1 _16912_ (.D(_01459_),
     .Q(\u_uart_core.u_txfifo.mem[9][5] ),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16913_ (.D(_01460_),
+    .Q(\u_uart_core.u_txfifo.mem[9][6] ),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16914_ (.D(_01461_),
+    .Q(\u_uart_core.u_txfifo.mem[9][7] ),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16915_ (.D(_01462_),
+    .Q(\u_uart_core.u_txfifo.mem[6][0] ),
+    .CLK(clknet_leaf_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16916_ (.D(_01463_),
+    .Q(\u_uart_core.u_txfifo.mem[6][1] ),
     .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17865_ (.D(_01460_),
-    .Q(\u_uart_core.u_txfifo.mem[9][6] ),
-    .CLK(clknet_leaf_7_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17866_ (.D(_01461_),
-    .Q(\u_uart_core.u_txfifo.mem[9][7] ),
-    .CLK(clknet_leaf_7_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17867_ (.D(_01462_),
-    .Q(\u_uart_core.u_txfifo.mem[6][0] ),
-    .CLK(clknet_leaf_15_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17868_ (.D(_01463_),
-    .Q(\u_uart_core.u_txfifo.mem[6][1] ),
-    .CLK(clknet_leaf_15_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17869_ (.D(_01464_),
+ sky130_fd_sc_hd__dfxtp_1 _16917_ (.D(_01464_),
     .Q(\u_uart_core.u_txfifo.mem[6][2] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17870_ (.D(_01465_),
+ sky130_fd_sc_hd__dfxtp_1 _16918_ (.D(_01465_),
     .Q(\u_uart_core.u_txfifo.mem[6][3] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17871_ (.D(_01466_),
+ sky130_fd_sc_hd__dfxtp_1 _16919_ (.D(_01466_),
     .Q(\u_uart_core.u_txfifo.mem[6][4] ),
     .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17872_ (.D(_01467_),
+ sky130_fd_sc_hd__dfxtp_1 _16920_ (.D(_01467_),
     .Q(\u_uart_core.u_txfifo.mem[6][5] ),
-    .CLK(clknet_leaf_5_app_clk),
+    .CLK(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17873_ (.D(_01468_),
+ sky130_fd_sc_hd__dfxtp_1 _16921_ (.D(_01468_),
     .Q(\u_uart_core.u_txfifo.mem[6][6] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17874_ (.D(_01469_),
+ sky130_fd_sc_hd__dfxtp_1 _16922_ (.D(_01469_),
     .Q(\u_uart_core.u_txfifo.mem[6][7] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17875_ (.D(_01470_),
+ sky130_fd_sc_hd__dfxtp_1 _16923_ (.D(_01470_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_5_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17876_ (.D(_01471_),
+ sky130_fd_sc_hd__dfxtp_1 _16924_ (.D(_01471_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_5_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17877_ (.D(_01472_),
+ sky130_fd_sc_hd__dfxtp_1 _16925_ (.D(_01472_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_5_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17878_ (.D(_01473_),
+ sky130_fd_sc_hd__dfxtp_1 _16926_ (.D(_01473_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
     .CLK(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17879_ (.D(_01474_),
+ sky130_fd_sc_hd__dfxtp_1 _16927_ (.D(_01474_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
-    .CLK(clknet_leaf_167_usb_clk),
+    .CLK(clknet_leaf_169_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17880_ (.D(_01475_),
+ sky130_fd_sc_hd__dfxtp_1 _16928_ (.D(_01475_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_5_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17881_ (.D(_01476_),
+ sky130_fd_sc_hd__dfxtp_1 _16929_ (.D(_01476_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
-    .CLK(clknet_leaf_7_usb_clk),
+    .CLK(clknet_leaf_5_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17882_ (.D(_01477_),
+ sky130_fd_sc_hd__dfxtp_1 _16930_ (.D(_01477_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
-    .CLK(clknet_leaf_167_usb_clk),
+    .CLK(clknet_leaf_169_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17883_ (.D(_01478_),
+ sky130_fd_sc_hd__dfxtp_1 _16931_ (.D(_01478_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
-    .CLK(clknet_leaf_128_usb_clk),
+    .CLK(clknet_leaf_146_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17884_ (.D(_01479_),
+ sky130_fd_sc_hd__dfxtp_1 _16932_ (.D(_01479_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
-    .CLK(clknet_leaf_128_usb_clk),
+    .CLK(clknet_leaf_143_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17885_ (.D(_01480_),
+ sky130_fd_sc_hd__dfxtp_1 _16933_ (.D(_01480_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
-    .CLK(clknet_leaf_128_usb_clk),
+    .CLK(clknet_leaf_143_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17886_ (.D(_01481_),
+ sky130_fd_sc_hd__dfxtp_1 _16934_ (.D(_01481_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
-    .CLK(clknet_leaf_62_usb_clk),
+    .CLK(clknet_leaf_146_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17887_ (.D(_01482_),
+ sky130_fd_sc_hd__dfxtp_1 _16935_ (.D(_01482_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
-    .CLK(clknet_leaf_128_usb_clk),
+    .CLK(clknet_leaf_146_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17888_ (.D(_01483_),
+ sky130_fd_sc_hd__dfxtp_1 _16936_ (.D(_01483_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
-    .CLK(clknet_leaf_62_usb_clk),
+    .CLK(clknet_leaf_146_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17889_ (.D(_01484_),
+ sky130_fd_sc_hd__dfxtp_1 _16937_ (.D(_01484_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
-    .CLK(clknet_leaf_128_usb_clk),
+    .CLK(clknet_leaf_146_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17890_ (.D(_01485_),
+ sky130_fd_sc_hd__dfxtp_1 _16938_ (.D(_01485_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
-    .CLK(clknet_leaf_63_usb_clk),
+    .CLK(clknet_leaf_146_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17891_ (.D(_01486_),
+ sky130_fd_sc_hd__dfxtp_1 _16939_ (.D(_01486_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
-    .CLK(clknet_leaf_135_usb_clk),
+    .CLK(clknet_leaf_164_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17892_ (.D(_01487_),
+ sky130_fd_sc_hd__dfxtp_1 _16940_ (.D(_01487_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
-    .CLK(clknet_leaf_134_usb_clk),
+    .CLK(clknet_leaf_156_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17893_ (.D(_01488_),
+ sky130_fd_sc_hd__dfxtp_1 _16941_ (.D(_01488_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
-    .CLK(clknet_leaf_134_usb_clk),
+    .CLK(clknet_leaf_164_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17894_ (.D(_01489_),
+ sky130_fd_sc_hd__dfxtp_1 _16942_ (.D(_01489_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
-    .CLK(clknet_leaf_133_usb_clk),
+    .CLK(clknet_leaf_157_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17895_ (.D(_01490_),
+ sky130_fd_sc_hd__dfxtp_1 _16943_ (.D(_01490_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
-    .CLK(clknet_leaf_135_usb_clk),
+    .CLK(clknet_leaf_156_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17896_ (.D(_01491_),
+ sky130_fd_sc_hd__dfxtp_1 _16944_ (.D(_01491_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
-    .CLK(clknet_leaf_135_usb_clk),
+    .CLK(clknet_leaf_156_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17897_ (.D(_01492_),
+ sky130_fd_sc_hd__dfxtp_1 _16945_ (.D(_01492_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
-    .CLK(clknet_leaf_135_usb_clk),
+    .CLK(clknet_leaf_157_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17898_ (.D(_01493_),
+ sky130_fd_sc_hd__dfxtp_1 _16946_ (.D(_01493_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
-    .CLK(clknet_leaf_135_usb_clk),
+    .CLK(clknet_leaf_156_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17899_ (.D(_01494_),
+ sky130_fd_sc_hd__dfxtp_1 _16947_ (.D(_01494_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
     .CLK(clknet_leaf_131_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17900_ (.D(_01495_),
+ sky130_fd_sc_hd__dfxtp_1 _16948_ (.D(_01495_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
-    .CLK(clknet_leaf_132_usb_clk),
+    .CLK(clknet_leaf_131_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17901_ (.D(_01496_),
+ sky130_fd_sc_hd__dfxtp_1 _16949_ (.D(_01496_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
     .CLK(clknet_leaf_132_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17902_ (.D(_01497_),
+ sky130_fd_sc_hd__dfxtp_1 _16950_ (.D(_01497_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
     .CLK(clknet_leaf_131_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17903_ (.D(_01498_),
+ sky130_fd_sc_hd__dfxtp_1 _16951_ (.D(_01498_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
-    .CLK(clknet_leaf_130_usb_clk),
+    .CLK(clknet_leaf_132_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17904_ (.D(_01499_),
+ sky130_fd_sc_hd__dfxtp_1 _16952_ (.D(_01499_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
-    .CLK(clknet_leaf_136_usb_clk),
+    .CLK(clknet_leaf_132_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17905_ (.D(_01500_),
+ sky130_fd_sc_hd__dfxtp_1 _16953_ (.D(_01500_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
-    .CLK(clknet_leaf_131_usb_clk),
+    .CLK(clknet_leaf_132_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17906_ (.D(_01501_),
+ sky130_fd_sc_hd__dfxtp_1 _16954_ (.D(_01501_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
-    .CLK(clknet_leaf_131_usb_clk),
+    .CLK(clknet_leaf_132_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17907_ (.D(_01502_),
+ sky130_fd_sc_hd__dfxtp_1 _16955_ (.D(_01502_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
-    .CLK(clknet_leaf_132_usb_clk),
+    .CLK(clknet_leaf_147_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17908_ (.D(_01503_),
+ sky130_fd_sc_hd__dfxtp_1 _16956_ (.D(_01503_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
-    .CLK(clknet_leaf_132_usb_clk),
+    .CLK(clknet_leaf_160_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17909_ (.D(_01504_),
+ sky130_fd_sc_hd__dfxtp_1 _16957_ (.D(_01504_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
-    .CLK(clknet_leaf_125_usb_clk),
+    .CLK(clknet_leaf_160_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17910_ (.D(_01505_),
+ sky130_fd_sc_hd__dfxtp_1 _16958_ (.D(_01505_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
-    .CLK(clknet_leaf_132_usb_clk),
+    .CLK(clknet_leaf_147_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17911_ (.D(_01506_),
+ sky130_fd_sc_hd__dfxtp_1 _16959_ (.D(_01506_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
-    .CLK(clknet_leaf_132_usb_clk),
+    .CLK(clknet_leaf_161_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17912_ (.D(_01507_),
+ sky130_fd_sc_hd__dfxtp_1 _16960_ (.D(_01507_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
-    .CLK(clknet_leaf_132_usb_clk),
+    .CLK(clknet_leaf_160_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17913_ (.D(_01508_),
+ sky130_fd_sc_hd__dfxtp_1 _16961_ (.D(_01508_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
-    .CLK(clknet_leaf_132_usb_clk),
+    .CLK(clknet_leaf_160_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17914_ (.D(_01509_),
+ sky130_fd_sc_hd__dfxtp_1 _16962_ (.D(_01509_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
-    .CLK(clknet_leaf_132_usb_clk),
+    .CLK(clknet_leaf_160_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17915_ (.D(_01510_),
+ sky130_fd_sc_hd__dfxtp_1 _16963_ (.D(_01510_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
-    .CLK(clknet_leaf_133_usb_clk),
+    .CLK(clknet_leaf_135_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17916_ (.D(_01511_),
+ sky130_fd_sc_hd__dfxtp_1 _16964_ (.D(_01511_),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
-    .CLK(clknet_leaf_133_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17917_ (.D(_01512_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
-    .CLK(clknet_leaf_133_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17918_ (.D(_01513_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
-    .CLK(clknet_leaf_132_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17919_ (.D(_01514_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
-    .CLK(clknet_leaf_135_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17920_ (.D(_01515_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
-    .CLK(clknet_leaf_132_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17921_ (.D(_01516_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
-    .CLK(clknet_leaf_136_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17922_ (.D(_01517_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
-    .CLK(clknet_leaf_135_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17923_ (.D(_01518_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17924_ (.D(_01519_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
-    .CLK(clknet_leaf_128_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17925_ (.D(_01520_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
-    .CLK(clknet_leaf_128_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17926_ (.D(_01521_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
-    .CLK(clknet_leaf_129_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17927_ (.D(_01522_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
-    .CLK(clknet_leaf_128_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17928_ (.D(_01523_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
-    .CLK(clknet_leaf_128_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17929_ (.D(_01524_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
-    .CLK(clknet_leaf_128_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17930_ (.D(_01525_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
-    .CLK(clknet_leaf_128_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17931_ (.D(_01526_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17932_ (.D(_01527_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
-    .CLK(clknet_leaf_165_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17933_ (.D(_01528_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
-    .CLK(clknet_leaf_165_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17934_ (.D(_01529_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
-    .CLK(clknet_leaf_165_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17935_ (.D(_01530_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17936_ (.D(_01531_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17937_ (.D(_01532_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17938_ (.D(_01533_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17939_ (.D(_01534_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17940_ (.D(_01535_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
-    .CLK(clknet_leaf_130_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17941_ (.D(_01536_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17942_ (.D(_01537_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
-    .CLK(clknet_leaf_164_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17943_ (.D(_01538_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
-    .CLK(clknet_leaf_130_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17944_ (.D(_01539_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
-    .CLK(clknet_leaf_130_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17945_ (.D(_01540_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
-    .CLK(clknet_leaf_163_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17946_ (.D(_01541_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
-    .CLK(clknet_leaf_130_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17947_ (.D(_01542_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17948_ (.D(_01543_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17949_ (.D(_01544_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17950_ (.D(_01545_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
-    .CLK(clknet_leaf_6_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17951_ (.D(_01546_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
-    .CLK(clknet_leaf_167_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17952_ (.D(_01547_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
-    .CLK(clknet_leaf_167_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17953_ (.D(_01548_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
-    .CLK(clknet_leaf_167_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17954_ (.D(_01549_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
-    .CLK(clknet_leaf_6_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17955_ (.D(_01550_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
-    .CLK(clknet_leaf_4_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17956_ (.D(_01551_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
-    .CLK(clknet_leaf_4_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17957_ (.D(_01552_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
-    .CLK(clknet_leaf_4_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17958_ (.D(_01553_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
-    .CLK(clknet_leaf_4_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17959_ (.D(_01554_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
-    .CLK(clknet_leaf_5_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17960_ (.D(_01555_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
-    .CLK(clknet_leaf_5_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17961_ (.D(_01556_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
-    .CLK(clknet_leaf_5_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17962_ (.D(_01557_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
-    .CLK(clknet_leaf_5_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17963_ (.D(_01558_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17964_ (.D(_01559_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17965_ (.D(_01560_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17966_ (.D(_01561_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17967_ (.D(_01562_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
-    .CLK(clknet_leaf_1_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17968_ (.D(_01563_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17969_ (.D(_01564_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17970_ (.D(_01565_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17971_ (.D(_01566_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17972_ (.D(_01567_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
-    .CLK(clknet_leaf_3_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17973_ (.D(_01568_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
-    .CLK(clknet_leaf_3_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17974_ (.D(_01569_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17975_ (.D(_01570_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
-    .CLK(clknet_leaf_6_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17976_ (.D(_01571_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
-    .CLK(clknet_leaf_6_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17977_ (.D(_01572_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
-    .CLK(clknet_leaf_2_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17978_ (.D(_01573_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
-    .CLK(clknet_leaf_6_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17979_ (.D(_01574_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17980_ (.D(_01575_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17981_ (.D(_01576_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17982_ (.D(_01577_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17983_ (.D(_01578_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
-    .CLK(clknet_leaf_73_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17984_ (.D(_01579_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
-    .CLK(clknet_leaf_73_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17985_ (.D(_01580_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17986_ (.D(_01581_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17987_ (.D(_01582_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
-    .CLK(clknet_leaf_63_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17988_ (.D(_01583_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
-    .CLK(clknet_leaf_64_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17989_ (.D(_01584_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
-    .CLK(clknet_leaf_64_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17990_ (.D(_01585_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
-    .CLK(clknet_leaf_63_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17991_ (.D(_01586_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
-    .CLK(clknet_leaf_64_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17992_ (.D(_01587_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17993_ (.D(_01588_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17994_ (.D(_01589_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
-    .CLK(clknet_leaf_64_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17995_ (.D(_01590_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
-    .CLK(clknet_leaf_63_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17996_ (.D(_01591_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17997_ (.D(_01592_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17998_ (.D(_01593_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
-    .CLK(clknet_leaf_63_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _17999_ (.D(_01594_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18000_ (.D(_01595_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18001_ (.D(_01596_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18002_ (.D(_01597_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18003_ (.D(_01598_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
-    .CLK(clknet_leaf_63_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18004_ (.D(_01599_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18005_ (.D(_01600_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
-    .CLK(clknet_leaf_63_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18006_ (.D(_01601_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18007_ (.D(_01602_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18008_ (.D(_01603_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18009_ (.D(_01604_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18010_ (.D(_01605_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18011_ (.D(_01606_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
-    .CLK(clknet_leaf_77_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18012_ (.D(_01607_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
-    .CLK(clknet_leaf_77_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18013_ (.D(_01608_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
-    .CLK(clknet_leaf_79_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18014_ (.D(_01609_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
-    .CLK(clknet_leaf_78_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18015_ (.D(_01610_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
-    .CLK(clknet_leaf_82_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18016_ (.D(_01611_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
-    .CLK(clknet_leaf_82_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18017_ (.D(_01612_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
-    .CLK(clknet_leaf_82_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18018_ (.D(_01613_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18019_ (.D(_01614_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
-    .CLK(clknet_leaf_74_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18020_ (.D(_01615_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
-    .CLK(clknet_leaf_74_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18021_ (.D(_01616_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
-    .CLK(clknet_leaf_74_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18022_ (.D(_01617_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
-    .CLK(clknet_leaf_74_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18023_ (.D(_01618_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18024_ (.D(_01619_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18025_ (.D(_01620_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18026_ (.D(_01621_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18027_ (.D(_01622_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
-    .CLK(clknet_leaf_78_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18028_ (.D(_01623_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
-    .CLK(clknet_leaf_79_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18029_ (.D(_01624_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
-    .CLK(clknet_leaf_78_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18030_ (.D(_01625_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
-    .CLK(clknet_leaf_78_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18031_ (.D(_01626_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
-    .CLK(clknet_leaf_82_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18032_ (.D(_01627_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
-    .CLK(clknet_leaf_82_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18033_ (.D(_01628_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18034_ (.D(_01629_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
-    .CLK(clknet_leaf_80_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18035_ (.D(_01630_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18036_ (.D(_01631_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18037_ (.D(_01632_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18038_ (.D(_01633_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
-    .CLK(clknet_leaf_80_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18039_ (.D(_01634_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
-    .CLK(clknet_leaf_83_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18040_ (.D(_01635_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
-    .CLK(clknet_leaf_83_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18041_ (.D(_01636_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
-    .CLK(clknet_leaf_83_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18042_ (.D(_01637_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18043_ (.D(_01638_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
-    .CLK(clknet_leaf_77_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18044_ (.D(_01639_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
-    .CLK(clknet_leaf_77_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18045_ (.D(_01640_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
-    .CLK(clknet_leaf_77_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18046_ (.D(_01641_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
-    .CLK(clknet_leaf_77_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18047_ (.D(_01642_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18048_ (.D(_01643_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18049_ (.D(_01644_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18050_ (.D(_01645_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18051_ (.D(_01646_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18052_ (.D(_01647_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18053_ (.D(_01648_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18054_ (.D(_01649_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18055_ (.D(_01650_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
-    .CLK(clknet_leaf_80_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18056_ (.D(_01651_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
-    .CLK(clknet_leaf_80_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18057_ (.D(_01652_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18058_ (.D(_01653_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18059_ (.D(_01654_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
-    .CLK(clknet_leaf_78_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18060_ (.D(_01655_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
-    .CLK(clknet_leaf_78_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18061_ (.D(_01656_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
-    .CLK(clknet_leaf_77_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18062_ (.D(_01657_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
-    .CLK(clknet_leaf_78_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18063_ (.D(_01658_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18064_ (.D(_01659_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18065_ (.D(_01660_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18066_ (.D(_01661_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
-    .CLK(clknet_leaf_81_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18067_ (.D(_01662_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18068_ (.D(_01663_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18069_ (.D(_01664_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18070_ (.D(_01665_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
-    .CLK(clknet_leaf_79_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18071_ (.D(_01666_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18072_ (.D(_01667_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
-    .CLK(clknet_leaf_79_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18073_ (.D(_01668_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
-    .CLK(clknet_leaf_80_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18074_ (.D(_01669_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
-    .CLK(clknet_leaf_80_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18075_ (.D(_01670_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
-    .CLK(clknet_leaf_120_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18076_ (.D(_01671_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
-    .CLK(clknet_leaf_119_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18077_ (.D(_01672_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
-    .CLK(clknet_leaf_120_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18078_ (.D(_01673_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
-    .CLK(clknet_leaf_119_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18079_ (.D(_01674_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
-    .CLK(clknet_leaf_119_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18080_ (.D(_01675_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
-    .CLK(clknet_leaf_119_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18081_ (.D(_01676_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
-    .CLK(clknet_leaf_119_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18082_ (.D(_01677_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
-    .CLK(clknet_leaf_118_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18083_ (.D(_01678_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18084_ (.D(_01679_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
-    .CLK(clknet_leaf_118_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18085_ (.D(_01680_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18086_ (.D(_01681_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18087_ (.D(_01682_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
-    .CLK(clknet_leaf_118_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18088_ (.D(_01683_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
-    .CLK(clknet_leaf_105_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18089_ (.D(_01684_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
-    .CLK(clknet_leaf_104_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18090_ (.D(_01685_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
-    .CLK(clknet_leaf_118_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18091_ (.D(_01686_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
-    .CLK(clknet_leaf_117_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18092_ (.D(_01687_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
-    .CLK(clknet_4_13_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18093_ (.D(_01688_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
-    .CLK(clknet_leaf_117_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18094_ (.D(_01689_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
-    .CLK(clknet_leaf_117_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18095_ (.D(_01690_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
-    .CLK(clknet_leaf_106_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18096_ (.D(_01691_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
-    .CLK(clknet_leaf_106_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18097_ (.D(_01692_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
-    .CLK(clknet_leaf_106_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18098_ (.D(_01693_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
-    .CLK(clknet_leaf_106_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18099_ (.D(_01694_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18100_ (.D(_01695_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18101_ (.D(_01696_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
-    .CLK(clknet_leaf_64_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18102_ (.D(_01697_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18103_ (.D(_01698_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18104_ (.D(_01699_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18105_ (.D(_01700_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18106_ (.D(_01701_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18107_ (.D(_01702_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18108_ (.D(_01703_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18109_ (.D(_01704_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18110_ (.D(_01705_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18111_ (.D(_01706_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
-    .CLK(clknet_leaf_125_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18112_ (.D(_01707_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
-    .CLK(clknet_leaf_125_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18113_ (.D(_01708_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
-    .CLK(clknet_leaf_125_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18114_ (.D(_01709_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
-    .CLK(clknet_leaf_124_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18115_ (.D(_01710_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18116_ (.D(_01711_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18117_ (.D(_01712_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
-    .CLK(clknet_leaf_126_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18118_ (.D(_01713_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
-    .CLK(clknet_leaf_126_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18119_ (.D(_01714_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
-    .CLK(clknet_leaf_122_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18120_ (.D(_01715_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
-    .CLK(clknet_leaf_125_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18121_ (.D(_01716_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
-    .CLK(clknet_leaf_126_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18122_ (.D(_01717_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
-    .CLK(clknet_leaf_126_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18123_ (.D(_01718_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
-    .CLK(clknet_leaf_127_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18124_ (.D(_01719_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18125_ (.D(_01720_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
-    .CLK(clknet_leaf_122_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18126_ (.D(_01721_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
-    .CLK(clknet_leaf_122_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18127_ (.D(_01722_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18128_ (.D(_01723_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18129_ (.D(_01724_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
-    .CLK(clknet_leaf_121_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18130_ (.D(_01725_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18131_ (.D(_01726_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
-    .CLK(clknet_leaf_125_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18132_ (.D(_01727_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
-    .CLK(clknet_leaf_126_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18133_ (.D(_01728_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
-    .CLK(clknet_leaf_125_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18134_ (.D(_01729_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
-    .CLK(clknet_leaf_126_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18135_ (.D(_01730_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
-    .CLK(clknet_leaf_124_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18136_ (.D(_01731_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
-    .CLK(clknet_leaf_124_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18137_ (.D(_01732_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
-    .CLK(clknet_leaf_132_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18138_ (.D(_01733_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
-    .CLK(clknet_leaf_132_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18139_ (.D(_01734_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18140_ (.D(_01735_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18141_ (.D(_01736_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18142_ (.D(_01737_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18143_ (.D(_01738_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18144_ (.D(_01739_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18145_ (.D(_01740_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18146_ (.D(_01741_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18147_ (.D(_01742_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
-    .CLK(clknet_leaf_113_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18148_ (.D(_01743_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18149_ (.D(_01744_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18150_ (.D(_01745_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18151_ (.D(_01746_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18152_ (.D(_01747_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18153_ (.D(_01748_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18154_ (.D(_01749_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18155_ (.D(_01750_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
-    .CLK(clknet_leaf_106_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18156_ (.D(_01751_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18157_ (.D(_01752_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18158_ (.D(_01753_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
-    .CLK(clknet_leaf_106_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18159_ (.D(_01754_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
-    .CLK(clknet_leaf_106_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18160_ (.D(_01755_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
-    .CLK(clknet_leaf_106_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18161_ (.D(_01756_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18162_ (.D(_01757_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18163_ (.D(_01758_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18164_ (.D(_01759_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18165_ (.D(_01760_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
-    .CLK(clknet_leaf_100_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18166_ (.D(_01761_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18167_ (.D(_01762_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18168_ (.D(_01763_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18169_ (.D(_01764_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
-    .CLK(clknet_leaf_108_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18170_ (.D(_01765_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18171_ (.D(_01766_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18172_ (.D(_01767_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
-    .CLK(clknet_leaf_117_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18173_ (.D(_01768_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
-    .CLK(clknet_leaf_117_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18174_ (.D(_01769_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
-    .CLK(clknet_leaf_117_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18175_ (.D(_01770_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18176_ (.D(_01771_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18177_ (.D(_01772_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18178_ (.D(_01773_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18179_ (.D(_01774_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18180_ (.D(_01775_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
-    .CLK(clknet_leaf_113_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18181_ (.D(_01776_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18182_ (.D(_01777_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18183_ (.D(_01778_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18184_ (.D(_01779_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
-    .CLK(clknet_leaf_140_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18185_ (.D(_01780_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18186_ (.D(_01781_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
-    .CLK(clknet_leaf_140_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18187_ (.D(_01782_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18188_ (.D(_01783_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18189_ (.D(_01784_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18190_ (.D(_01785_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18191_ (.D(_01786_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18192_ (.D(_01787_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18193_ (.D(_01788_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18194_ (.D(_01789_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18195_ (.D(_01790_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18196_ (.D(_01791_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18197_ (.D(_01792_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
-    .CLK(clknet_leaf_116_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18198_ (.D(_01793_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18199_ (.D(_01794_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18200_ (.D(_01795_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18201_ (.D(_01796_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18202_ (.D(_01797_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
-    .CLK(clknet_leaf_114_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18203_ (.D(_01798_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
-    .CLK(clknet_leaf_123_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18204_ (.D(_01799_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
-    .CLK(clknet_leaf_122_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18205_ (.D(_01800_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
-    .CLK(clknet_leaf_123_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18206_ (.D(_01801_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
-    .CLK(clknet_leaf_122_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18207_ (.D(_01802_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
-    .CLK(clknet_leaf_123_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18208_ (.D(_01803_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
-    .CLK(clknet_leaf_123_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18209_ (.D(_01804_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
-    .CLK(clknet_leaf_123_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18210_ (.D(_01805_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
-    .CLK(clknet_leaf_123_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18211_ (.D(_01806_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
-    .CLK(clknet_leaf_124_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18212_ (.D(_01807_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
-    .CLK(clknet_leaf_124_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18213_ (.D(_01808_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
-    .CLK(clknet_leaf_133_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18214_ (.D(_01809_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
-    .CLK(clknet_leaf_124_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18215_ (.D(_01810_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
     .CLK(clknet_leaf_134_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18216_ (.D(_01811_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
-    .CLK(clknet_leaf_133_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16965_ (.D(_01512_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
+    .CLK(clknet_leaf_135_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18217_ (.D(_01812_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
-    .CLK(clknet_leaf_133_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _16966_ (.D(_01513_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
+    .CLK(clknet_leaf_135_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18218_ (.D(_01813_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
-    .CLK(clknet_leaf_133_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18219_ (.D(_01814_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
-    .CLK(clknet_leaf_124_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18220_ (.D(_01815_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
-    .CLK(clknet_leaf_123_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18221_ (.D(_01816_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18222_ (.D(_01817_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18223_ (.D(_01818_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18224_ (.D(_01819_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
-    .CLK(clknet_leaf_115_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18225_ (.D(_01820_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
+ sky130_fd_sc_hd__dfxtp_1 _16967_ (.D(_01514_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
     .CLK(clknet_leaf_140_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18226_ (.D(_01821_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
+ sky130_fd_sc_hd__dfxtp_1 _16968_ (.D(_01515_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
+    .CLK(clknet_leaf_140_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16969_ (.D(_01516_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
+    .CLK(clknet_leaf_139_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16970_ (.D(_01517_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
+    .CLK(clknet_leaf_139_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16971_ (.D(_01518_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
+    .CLK(clknet_leaf_141_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16972_ (.D(_01519_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
+    .CLK(clknet_leaf_141_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16973_ (.D(_01520_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
+    .CLK(clknet_leaf_141_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16974_ (.D(_01521_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
+    .CLK(clknet_leaf_143_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16975_ (.D(_01522_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
+    .CLK(clknet_leaf_143_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16976_ (.D(_01523_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
+    .CLK(clknet_leaf_142_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16977_ (.D(_01524_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
+    .CLK(clknet_leaf_143_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16978_ (.D(_01525_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
+    .CLK(clknet_leaf_143_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16979_ (.D(_01526_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
+    .CLK(clknet_leaf_158_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16980_ (.D(_01527_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
+    .CLK(clknet_leaf_158_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16981_ (.D(_01528_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
+    .CLK(clknet_leaf_158_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16982_ (.D(_01529_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
+    .CLK(clknet_leaf_158_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16983_ (.D(_01530_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
+    .CLK(clknet_leaf_148_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16984_ (.D(_01531_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
+    .CLK(clknet_leaf_148_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16985_ (.D(_01532_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
+    .CLK(clknet_leaf_148_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16986_ (.D(_01533_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
+    .CLK(clknet_leaf_149_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16987_ (.D(_01534_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
+    .CLK(clknet_leaf_174_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16988_ (.D(_01535_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
+    .CLK(clknet_leaf_174_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16989_ (.D(_01536_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
+    .CLK(clknet_leaf_174_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16990_ (.D(_01537_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
+    .CLK(clknet_leaf_174_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16991_ (.D(_01538_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
+    .CLK(clknet_leaf_173_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16992_ (.D(_01539_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
+    .CLK(clknet_leaf_172_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16993_ (.D(_01540_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
+    .CLK(clknet_leaf_173_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16994_ (.D(_01541_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
+    .CLK(clknet_leaf_173_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16995_ (.D(_01542_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
+    .CLK(clknet_leaf_136_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16996_ (.D(_01543_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
+    .CLK(clknet_leaf_136_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16997_ (.D(_01544_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
+    .CLK(clknet_leaf_129_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16998_ (.D(_01545_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
+    .CLK(clknet_leaf_135_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _16999_ (.D(_01546_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
+    .CLK(clknet_leaf_135_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17000_ (.D(_01547_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
+    .CLK(clknet_leaf_135_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17001_ (.D(_01548_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
+    .CLK(clknet_leaf_136_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17002_ (.D(_01549_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
+    .CLK(clknet_leaf_135_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17003_ (.D(_01550_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
+    .CLK(clknet_leaf_6_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17004_ (.D(_01551_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
+    .CLK(clknet_leaf_6_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17005_ (.D(_01552_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
+    .CLK(clknet_leaf_6_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17006_ (.D(_01553_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
+    .CLK(clknet_leaf_6_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17007_ (.D(_01554_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
+    .CLK(clknet_leaf_4_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17008_ (.D(_01555_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
+    .CLK(clknet_leaf_4_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17009_ (.D(_01556_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
+    .CLK(clknet_leaf_4_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17010_ (.D(_01557_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
+    .CLK(clknet_leaf_4_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17011_ (.D(_01558_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
     .CLK(clknet_leaf_133_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18227_ (.D(_01822_),
+ sky130_fd_sc_hd__dfxtp_1 _17012_ (.D(_01559_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
+    .CLK(clknet_leaf_132_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17013_ (.D(_01560_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
+    .CLK(clknet_leaf_132_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17014_ (.D(_01561_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
+    .CLK(clknet_leaf_133_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17015_ (.D(_01562_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17016_ (.D(_01563_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
+    .CLK(clknet_leaf_134_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17017_ (.D(_01564_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17018_ (.D(_01565_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17019_ (.D(_01566_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
+    .CLK(clknet_leaf_6_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17020_ (.D(_01567_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
+    .CLK(clknet_leaf_6_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17021_ (.D(_01568_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
+    .CLK(clknet_leaf_6_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17022_ (.D(_01569_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
+    .CLK(clknet_leaf_4_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17023_ (.D(_01570_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
+    .CLK(clknet_leaf_170_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17024_ (.D(_01571_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
+    .CLK(clknet_leaf_4_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17025_ (.D(_01572_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
+    .CLK(clknet_leaf_4_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17026_ (.D(_01573_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
+    .CLK(clknet_leaf_4_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17027_ (.D(_01574_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17028_ (.D(_01575_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17029_ (.D(_01576_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
+    .CLK(clknet_leaf_73_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17030_ (.D(_01577_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17031_ (.D(_01578_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17032_ (.D(_01579_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17033_ (.D(_01580_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17034_ (.D(_01581_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17035_ (.D(_01582_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
+    .CLK(clknet_leaf_118_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17036_ (.D(_01583_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
+    .CLK(clknet_leaf_127_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17037_ (.D(_01584_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
+    .CLK(clknet_leaf_127_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17038_ (.D(_01585_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
+    .CLK(clknet_leaf_118_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17039_ (.D(_01586_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
+    .CLK(clknet_leaf_118_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17040_ (.D(_01587_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
+    .CLK(clknet_leaf_118_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17041_ (.D(_01588_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
+    .CLK(clknet_leaf_138_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17042_ (.D(_01589_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
+    .CLK(clknet_leaf_144_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17043_ (.D(_01590_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
+    .CLK(clknet_leaf_119_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17044_ (.D(_01591_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
+    .CLK(clknet_leaf_120_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17045_ (.D(_01592_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
+    .CLK(clknet_leaf_120_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17046_ (.D(_01593_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
+    .CLK(clknet_leaf_120_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17047_ (.D(_01594_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17048_ (.D(_01595_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17049_ (.D(_01596_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ),
+    .CLK(clknet_leaf_144_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17050_ (.D(_01597_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
+    .CLK(clknet_leaf_144_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17051_ (.D(_01598_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
+    .CLK(clknet_leaf_118_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17052_ (.D(_01599_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17053_ (.D(_01600_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
+    .CLK(clknet_leaf_118_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17054_ (.D(_01601_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17055_ (.D(_01602_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
+    .CLK(clknet_leaf_144_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17056_ (.D(_01603_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
+    .CLK(clknet_leaf_118_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17057_ (.D(_01604_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
+    .CLK(clknet_leaf_144_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17058_ (.D(_01605_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
+    .CLK(clknet_leaf_144_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17059_ (.D(_01606_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17060_ (.D(_01607_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17061_ (.D(_01608_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
+    .CLK(clknet_leaf_113_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17062_ (.D(_01609_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17063_ (.D(_01610_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
+    .CLK(clknet_leaf_113_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17064_ (.D(_01611_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
+    .CLK(clknet_leaf_113_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17065_ (.D(_01612_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
+    .CLK(clknet_leaf_113_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17066_ (.D(_01613_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
+    .CLK(clknet_leaf_113_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17067_ (.D(_01614_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
+    .CLK(clknet_leaf_102_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17068_ (.D(_01615_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
+    .CLK(clknet_leaf_111_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17069_ (.D(_01616_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
+    .CLK(clknet_leaf_101_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17070_ (.D(_01617_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
+    .CLK(clknet_leaf_102_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17071_ (.D(_01618_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
+    .CLK(clknet_leaf_111_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17072_ (.D(_01619_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
+    .CLK(clknet_leaf_111_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17073_ (.D(_01620_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
+    .CLK(clknet_leaf_111_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17074_ (.D(_01621_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
+    .CLK(clknet_leaf_111_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17075_ (.D(_01622_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
+    .CLK(clknet_leaf_75_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17076_ (.D(_01623_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
+    .CLK(clknet_leaf_76_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17077_ (.D(_01624_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
+    .CLK(clknet_leaf_75_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17078_ (.D(_01625_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
+    .CLK(clknet_leaf_76_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17079_ (.D(_01626_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17080_ (.D(_01627_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
+    .CLK(clknet_leaf_75_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17081_ (.D(_01628_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17082_ (.D(_01629_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
+    .CLK(clknet_leaf_75_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17083_ (.D(_01630_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
+    .CLK(clknet_leaf_105_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17084_ (.D(_01631_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
+    .CLK(clknet_leaf_105_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17085_ (.D(_01632_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
+    .CLK(clknet_leaf_106_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17086_ (.D(_01633_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
+    .CLK(clknet_leaf_106_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17087_ (.D(_01634_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
+    .CLK(clknet_leaf_123_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17088_ (.D(_01635_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17089_ (.D(_01636_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
+    .CLK(clknet_leaf_123_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17090_ (.D(_01637_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17091_ (.D(_01638_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17092_ (.D(_01639_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17093_ (.D(_01640_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17094_ (.D(_01641_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17095_ (.D(_01642_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
+    .CLK(clknet_leaf_114_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17096_ (.D(_01643_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17097_ (.D(_01644_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17098_ (.D(_01645_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
+    .CLK(clknet_leaf_114_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17099_ (.D(_01646_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17100_ (.D(_01647_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17101_ (.D(_01648_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17102_ (.D(_01649_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
+    .CLK(clknet_leaf_109_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17103_ (.D(_01650_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
+    .CLK(clknet_leaf_108_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17104_ (.D(_01651_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
+    .CLK(clknet_leaf_108_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17105_ (.D(_01652_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
+    .CLK(clknet_leaf_109_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17106_ (.D(_01653_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
+    .CLK(clknet_leaf_108_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17107_ (.D(_01654_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
+    .CLK(clknet_leaf_76_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17108_ (.D(_01655_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
+    .CLK(clknet_leaf_76_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17109_ (.D(_01656_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
+    .CLK(clknet_leaf_75_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17110_ (.D(_01657_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
+    .CLK(clknet_leaf_76_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17111_ (.D(_01658_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
+    .CLK(clknet_leaf_75_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17112_ (.D(_01659_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
+    .CLK(clknet_leaf_73_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17113_ (.D(_01660_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17114_ (.D(_01661_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
+    .CLK(clknet_leaf_73_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17115_ (.D(_01662_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
+    .CLK(clknet_leaf_102_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17116_ (.D(_01663_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
+    .CLK(clknet_leaf_102_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17117_ (.D(_01664_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
+    .CLK(clknet_leaf_102_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17118_ (.D(_01665_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
+    .CLK(clknet_leaf_109_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17119_ (.D(_01666_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
+    .CLK(clknet_leaf_110_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17120_ (.D(_01667_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
+    .CLK(clknet_leaf_112_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17121_ (.D(_01668_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
+    .CLK(clknet_leaf_110_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17122_ (.D(_01669_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
+    .CLK(clknet_leaf_110_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17123_ (.D(_01670_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
+    .CLK(clknet_leaf_99_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17124_ (.D(_01671_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
+    .CLK(clknet_leaf_99_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17125_ (.D(_01672_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
+    .CLK(clknet_leaf_96_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17126_ (.D(_01673_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
+    .CLK(clknet_leaf_96_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17127_ (.D(_01674_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
+    .CLK(clknet_leaf_101_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17128_ (.D(_01675_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
+    .CLK(clknet_leaf_101_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17129_ (.D(_01676_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
+    .CLK(clknet_leaf_99_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17130_ (.D(_01677_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
+    .CLK(clknet_leaf_101_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17131_ (.D(_01678_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
+    .CLK(clknet_leaf_80_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17132_ (.D(_01679_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
+    .CLK(clknet_leaf_77_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17133_ (.D(_01680_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
+    .CLK(clknet_leaf_80_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17134_ (.D(_01681_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
+    .CLK(clknet_leaf_77_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17135_ (.D(_01682_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17136_ (.D(_01683_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
+    .CLK(clknet_leaf_79_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17137_ (.D(_01684_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17138_ (.D(_01685_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
+    .CLK(clknet_leaf_79_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17139_ (.D(_01686_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17140_ (.D(_01687_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17141_ (.D(_01688_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
+    .CLK(clknet_leaf_84_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17142_ (.D(_01689_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17143_ (.D(_01690_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
+    .CLK(clknet_leaf_84_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17144_ (.D(_01691_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
+    .CLK(clknet_leaf_70_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17145_ (.D(_01692_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
+    .CLK(clknet_leaf_69_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17146_ (.D(_01693_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
+    .CLK(clknet_leaf_70_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17147_ (.D(_01694_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
+    .CLK(clknet_leaf_118_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17148_ (.D(_01695_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
+    .CLK(clknet_leaf_127_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17149_ (.D(_01696_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
+    .CLK(clknet_leaf_127_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17150_ (.D(_01697_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
+    .CLK(clknet_leaf_119_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17151_ (.D(_01698_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
+    .CLK(clknet_leaf_128_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17152_ (.D(_01699_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
+    .CLK(clknet_leaf_128_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17153_ (.D(_01700_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
+    .CLK(clknet_leaf_137_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17154_ (.D(_01701_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
+    .CLK(clknet_leaf_137_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17155_ (.D(_01702_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
+    .CLK(clknet_leaf_130_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17156_ (.D(_01703_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
+    .CLK(clknet_leaf_131_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17157_ (.D(_01704_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
+    .CLK(clknet_leaf_131_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17158_ (.D(_01705_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
+    .CLK(clknet_leaf_130_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17159_ (.D(_01706_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
+    .CLK(clknet_leaf_129_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17160_ (.D(_01707_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
+    .CLK(clknet_leaf_129_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17161_ (.D(_01708_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
+    .CLK(clknet_leaf_129_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17162_ (.D(_01709_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
+    .CLK(clknet_leaf_129_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17163_ (.D(_01710_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
+    .CLK(clknet_leaf_62_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17164_ (.D(_01711_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
+    .CLK(clknet_leaf_62_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17165_ (.D(_01712_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17166_ (.D(_01713_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17167_ (.D(_01714_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17168_ (.D(_01715_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17169_ (.D(_01716_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17170_ (.D(_01717_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17171_ (.D(_01718_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
+    .CLK(clknet_leaf_66_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17172_ (.D(_01719_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17173_ (.D(_01720_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17174_ (.D(_01721_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17175_ (.D(_01722_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17176_ (.D(_01723_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17177_ (.D(_01724_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17178_ (.D(_01725_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17179_ (.D(_01726_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17180_ (.D(_01727_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
+    .CLK(clknet_leaf_130_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17181_ (.D(_01728_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
+    .CLK(clknet_leaf_129_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17182_ (.D(_01729_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
+    .CLK(clknet_leaf_129_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17183_ (.D(_01730_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
+    .CLK(clknet_leaf_136_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17184_ (.D(_01731_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
+    .CLK(clknet_leaf_136_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17185_ (.D(_01732_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
+    .CLK(clknet_leaf_128_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17186_ (.D(_01733_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
+    .CLK(clknet_leaf_129_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17187_ (.D(_01734_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17188_ (.D(_01735_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17189_ (.D(_01736_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17190_ (.D(_01737_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17191_ (.D(_01738_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17192_ (.D(_01739_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17193_ (.D(_01740_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17194_ (.D(_01741_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17195_ (.D(_01742_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17196_ (.D(_01743_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17197_ (.D(_01744_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17198_ (.D(_01745_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17199_ (.D(_01746_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17200_ (.D(_01747_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17201_ (.D(_01748_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17202_ (.D(_01749_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17203_ (.D(_01750_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
+    .CLK(clknet_leaf_82_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17204_ (.D(_01751_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
+    .CLK(clknet_leaf_82_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17205_ (.D(_01752_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
+    .CLK(clknet_leaf_82_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17206_ (.D(_01753_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
+    .CLK(clknet_leaf_82_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17207_ (.D(_01754_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
+    .CLK(clknet_leaf_89_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17208_ (.D(_01755_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
+    .CLK(clknet_leaf_89_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17209_ (.D(_01756_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
+    .CLK(clknet_leaf_82_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17210_ (.D(_01757_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
+    .CLK(clknet_leaf_82_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17211_ (.D(_01758_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
+    .CLK(clknet_leaf_98_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17212_ (.D(_01759_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
+    .CLK(clknet_leaf_104_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17213_ (.D(_01760_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
+    .CLK(clknet_leaf_97_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17214_ (.D(_01761_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
+    .CLK(clknet_leaf_98_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17215_ (.D(_01762_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
+    .CLK(clknet_leaf_104_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17216_ (.D(_01763_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
+    .CLK(clknet_leaf_104_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17217_ (.D(_01764_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17218_ (.D(_01765_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17219_ (.D(_01766_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
+    .CLK(clknet_leaf_70_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17220_ (.D(_01767_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17221_ (.D(_01768_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
+    .CLK(clknet_leaf_70_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17222_ (.D(_01769_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17223_ (.D(_01770_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
+    .CLK(clknet_leaf_69_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17224_ (.D(_01771_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17225_ (.D(_01772_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
+    .CLK(clknet_leaf_69_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17226_ (.D(_01773_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
+    .CLK(clknet_leaf_69_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17227_ (.D(_01774_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17228_ (.D(_01775_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17229_ (.D(_01776_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17230_ (.D(_01777_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17231_ (.D(_01778_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17232_ (.D(_01779_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17233_ (.D(_01780_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17234_ (.D(_01781_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17235_ (.D(_01782_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
+    .CLK(clknet_leaf_124_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17236_ (.D(_01783_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
+    .CLK(clknet_leaf_123_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17237_ (.D(_01784_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
+    .CLK(clknet_leaf_123_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17238_ (.D(_01785_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
+    .CLK(clknet_leaf_124_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17239_ (.D(_01786_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
+    .CLK(clknet_leaf_122_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17240_ (.D(_01787_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
+    .CLK(clknet_leaf_119_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17241_ (.D(_01788_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
+    .CLK(clknet_leaf_119_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17242_ (.D(_01789_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
+    .CLK(clknet_leaf_122_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17243_ (.D(_01790_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17244_ (.D(_01791_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
+    .CLK(clknet_leaf_77_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17245_ (.D(_01792_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17246_ (.D(_01793_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
+    .CLK(clknet_leaf_77_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17247_ (.D(_01794_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17248_ (.D(_01795_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17249_ (.D(_01796_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
+    .CLK(clknet_leaf_70_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17250_ (.D(_01797_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
+    .CLK(clknet_leaf_75_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17251_ (.D(_01798_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
+    .CLK(clknet_leaf_65_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17252_ (.D(_01799_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17253_ (.D(_01800_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17254_ (.D(_01801_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
+    .CLK(clknet_leaf_65_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17255_ (.D(_01802_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17256_ (.D(_01803_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
+    .CLK(clknet_leaf_131_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17257_ (.D(_01804_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
+    .CLK(clknet_leaf_61_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17258_ (.D(_01805_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
+    .CLK(clknet_leaf_65_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17259_ (.D(_01806_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17260_ (.D(_01807_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17261_ (.D(_01808_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
+    .CLK(clknet_leaf_130_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17262_ (.D(_01809_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
+    .CLK(clknet_leaf_128_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17263_ (.D(_01810_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
+    .CLK(clknet_leaf_137_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17264_ (.D(_01811_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
+    .CLK(clknet_leaf_128_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17265_ (.D(_01812_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
+    .CLK(clknet_leaf_136_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17266_ (.D(_01813_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
+    .CLK(clknet_leaf_128_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17267_ (.D(_01814_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
+    .CLK(clknet_leaf_127_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17268_ (.D(_01815_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
+    .CLK(clknet_leaf_127_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17269_ (.D(_01816_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
+    .CLK(clknet_leaf_127_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17270_ (.D(_01817_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
+    .CLK(clknet_leaf_127_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17271_ (.D(_01818_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
+    .CLK(clknet_leaf_137_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17272_ (.D(_01819_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
+    .CLK(clknet_leaf_128_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17273_ (.D(_01820_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
+    .CLK(clknet_leaf_137_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17274_ (.D(_01821_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
+    .CLK(clknet_leaf_128_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17275_ (.D(_01822_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
-    .CLK(clknet_leaf_116_usb_clk),
+    .CLK(clknet_leaf_124_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18228_ (.D(_01823_),
+ sky130_fd_sc_hd__dfxtp_1 _17276_ (.D(_01823_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
-    .CLK(clknet_leaf_123_usb_clk),
+    .CLK(clknet_leaf_124_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18229_ (.D(_01824_),
+ sky130_fd_sc_hd__dfxtp_1 _17277_ (.D(_01824_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
-    .CLK(clknet_leaf_123_usb_clk),
+    .CLK(clknet_leaf_67_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18230_ (.D(_01825_),
+ sky130_fd_sc_hd__dfxtp_1 _17278_ (.D(_01825_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
-    .CLK(clknet_leaf_116_usb_clk),
+    .CLK(clknet_leaf_125_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18231_ (.D(_01826_),
+ sky130_fd_sc_hd__dfxtp_1 _17279_ (.D(_01826_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
-    .CLK(clknet_leaf_123_usb_clk),
+    .CLK(clknet_leaf_125_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18232_ (.D(_01827_),
+ sky130_fd_sc_hd__dfxtp_1 _17280_ (.D(_01827_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
-    .CLK(clknet_leaf_116_usb_clk),
+    .CLK(clknet_leaf_127_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18233_ (.D(_01828_),
+ sky130_fd_sc_hd__dfxtp_1 _17281_ (.D(_01828_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
-    .CLK(clknet_leaf_116_usb_clk),
+    .CLK(clknet_leaf_127_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18234_ (.D(_01829_),
+ sky130_fd_sc_hd__dfxtp_1 _17282_ (.D(_01829_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
-    .CLK(clknet_leaf_115_usb_clk),
+    .CLK(clknet_leaf_125_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18235_ (.D(_01830_),
+ sky130_fd_sc_hd__dfxtp_1 _17283_ (.D(_01830_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
-    .CLK(clknet_leaf_99_usb_clk),
+    .CLK(clknet_leaf_92_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18236_ (.D(_01831_),
+ sky130_fd_sc_hd__dfxtp_1 _17284_ (.D(_01831_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
-    .CLK(clknet_leaf_98_usb_clk),
+    .CLK(clknet_leaf_104_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18237_ (.D(_01832_),
+ sky130_fd_sc_hd__dfxtp_1 _17285_ (.D(_01832_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
-    .CLK(clknet_leaf_98_usb_clk),
+    .CLK(clknet_leaf_92_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18238_ (.D(_01833_),
+ sky130_fd_sc_hd__dfxtp_1 _17286_ (.D(_01833_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
-    .CLK(clknet_leaf_98_usb_clk),
+    .CLK(clknet_leaf_104_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18239_ (.D(_01834_),
+ sky130_fd_sc_hd__dfxtp_1 _17287_ (.D(_01834_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
-    .CLK(clknet_leaf_99_usb_clk),
+    .CLK(clknet_leaf_105_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18240_ (.D(_01835_),
+ sky130_fd_sc_hd__dfxtp_1 _17288_ (.D(_01835_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
-    .CLK(clknet_leaf_98_usb_clk),
+    .CLK(clknet_leaf_105_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18241_ (.D(_01836_),
+ sky130_fd_sc_hd__dfxtp_1 _17289_ (.D(_01836_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
-    .CLK(clknet_leaf_99_usb_clk),
+    .CLK(clknet_leaf_103_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18242_ (.D(_01837_),
+ sky130_fd_sc_hd__dfxtp_1 _17290_ (.D(_01837_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17291_ (.D(_01838_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17292_ (.D(_01839_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17293_ (.D(_01840_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17294_ (.D(_01841_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17295_ (.D(_01842_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17296_ (.D(_01843_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
+    .CLK(clknet_leaf_91_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17297_ (.D(_01844_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17298_ (.D(_01845_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17299_ (.D(_01846_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17300_ (.D(_01847_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17301_ (.D(_01848_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17302_ (.D(_01849_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17303_ (.D(_01850_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17304_ (.D(_01851_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17305_ (.D(_01852_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
+    .CLK(clknet_leaf_144_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17306_ (.D(_01853_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17307_ (.D(_01854_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
     .CLK(clknet_leaf_99_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18243_ (.D(_01838_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18244_ (.D(_01839_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
-    .CLK(clknet_leaf_96_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18245_ (.D(_01840_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18246_ (.D(_01841_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18247_ (.D(_01842_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18248_ (.D(_01843_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18249_ (.D(_01844_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18250_ (.D(_01845_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18251_ (.D(_01846_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
-    .CLK(clknet_leaf_96_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18252_ (.D(_01847_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
-    .CLK(clknet_leaf_96_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18253_ (.D(_01848_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
-    .CLK(clknet_leaf_96_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18254_ (.D(_01849_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18255_ (.D(_01850_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
-    .CLK(clknet_leaf_96_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18256_ (.D(_01851_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
-    .CLK(clknet_leaf_96_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18257_ (.D(_01852_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18258_ (.D(_01853_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18259_ (.D(_01854_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18260_ (.D(_01855_),
+ sky130_fd_sc_hd__dfxtp_1 _17308_ (.D(_01855_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
-    .CLK(clknet_leaf_109_usb_clk),
+    .CLK(clknet_leaf_98_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18261_ (.D(_01856_),
+ sky130_fd_sc_hd__dfxtp_1 _17309_ (.D(_01856_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
-    .CLK(clknet_leaf_109_usb_clk),
+    .CLK(clknet_leaf_96_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18262_ (.D(_01857_),
+ sky130_fd_sc_hd__dfxtp_1 _17310_ (.D(_01857_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
     .CLK(clknet_leaf_99_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18263_ (.D(_01858_),
+ sky130_fd_sc_hd__dfxtp_1 _17311_ (.D(_01858_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
     .CLK(clknet_leaf_99_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18264_ (.D(_01859_),
+ sky130_fd_sc_hd__dfxtp_1 _17312_ (.D(_01859_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
     .CLK(clknet_leaf_99_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18265_ (.D(_01860_),
+ sky130_fd_sc_hd__dfxtp_1 _17313_ (.D(_01860_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
-    .CLK(clknet_leaf_109_usb_clk),
+    .CLK(clknet_leaf_103_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18266_ (.D(_01861_),
+ sky130_fd_sc_hd__dfxtp_1 _17314_ (.D(_01861_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _18267_ (.D(_01862_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
-    .CLK(clknet_leaf_97_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _18268_ (.D(_01863_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
-    .CLK(clknet_leaf_97_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _18269_ (.D(_01864_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
     .CLK(clknet_leaf_101_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _18270_ (.D(_01865_),
+ sky130_fd_sc_hd__dfxtp_1 _17315_ (.D(_01862_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17316_ (.D(_01863_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+    .CLK(clknet_leaf_88_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17317_ (.D(_01864_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+    .CLK(clknet_leaf_88_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17318_ (.D(_01865_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
-    .CLK(clknet_leaf_97_usb_clk),
+    .CLK(clknet_leaf_88_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _18271_ (.D(_01866_),
+ sky130_fd_sc_hd__dfxtp_1 _17319_ (.D(_01866_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
-    .CLK(clknet_leaf_93_usb_clk),
+    .CLK(clknet_leaf_87_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _18272_ (.D(_01867_),
+ sky130_fd_sc_hd__dfxtp_1 _17320_ (.D(_01867_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
-    .CLK(clknet_leaf_97_usb_clk),
+    .CLK(clknet_leaf_85_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _18273_ (.D(_01868_),
+ sky130_fd_sc_hd__dfxtp_1 _17321_ (.D(_01868_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
-    .CLK(clknet_leaf_97_usb_clk),
+    .CLK(clknet_leaf_86_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_2 _18274_ (.D(_01869_),
+ sky130_fd_sc_hd__dfxtp_1 _17322_ (.D(_01869_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
-    .CLK(clknet_leaf_97_usb_clk),
+    .CLK(clknet_leaf_86_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18275_ (.D(_01870_),
+ sky130_fd_sc_hd__dfxtp_1 _17323_ (.D(_01870_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
-    .CLK(clknet_leaf_88_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18276_ (.D(_01871_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
-    .CLK(clknet_leaf_88_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18277_ (.D(_01872_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
-    .CLK(clknet_leaf_88_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18278_ (.D(_01873_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
-    .CLK(clknet_leaf_88_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18279_ (.D(_01874_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
     .CLK(clknet_leaf_93_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18280_ (.D(_01875_),
+ sky130_fd_sc_hd__dfxtp_1 _17324_ (.D(_01871_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
+    .CLK(clknet_leaf_94_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17325_ (.D(_01872_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
+    .CLK(clknet_leaf_94_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17326_ (.D(_01873_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
+    .CLK(clknet_leaf_94_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17327_ (.D(_01874_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
+    .CLK(clknet_leaf_97_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17328_ (.D(_01875_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
     .CLK(clknet_leaf_97_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18281_ (.D(_01876_),
+ sky130_fd_sc_hd__dfxtp_1 _17329_ (.D(_01876_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
-    .CLK(clknet_leaf_93_usb_clk),
+    .CLK(clknet_leaf_97_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18282_ (.D(_01877_),
+ sky130_fd_sc_hd__dfxtp_1 _17330_ (.D(_01877_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
     .CLK(clknet_leaf_92_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18283_ (.D(_01878_),
+ sky130_fd_sc_hd__dfxtp_1 _17331_ (.D(_01878_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_89_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18284_ (.D(_01879_),
+ sky130_fd_sc_hd__dfxtp_1 _17332_ (.D(_01879_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
-    .CLK(clknet_leaf_92_usb_clk),
+    .CLK(clknet_leaf_89_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18285_ (.D(_01880_),
+ sky130_fd_sc_hd__dfxtp_1 _17333_ (.D(_01880_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
-    .CLK(clknet_leaf_93_usb_clk),
+    .CLK(clknet_leaf_89_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18286_ (.D(_01881_),
+ sky130_fd_sc_hd__dfxtp_1 _17334_ (.D(_01881_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
-    .CLK(clknet_leaf_94_usb_clk),
+    .CLK(clknet_leaf_89_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18287_ (.D(_01882_),
+ sky130_fd_sc_hd__dfxtp_1 _17335_ (.D(_01882_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
-    .CLK(clknet_leaf_94_usb_clk),
+    .CLK(clknet_leaf_91_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18288_ (.D(_01883_),
+ sky130_fd_sc_hd__dfxtp_1 _17336_ (.D(_01883_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
-    .CLK(clknet_leaf_94_usb_clk),
+    .CLK(clknet_leaf_88_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18289_ (.D(_01884_),
+ sky130_fd_sc_hd__dfxtp_1 _17337_ (.D(_01884_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
-    .CLK(clknet_leaf_94_usb_clk),
+    .CLK(clknet_leaf_91_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18290_ (.D(_01885_),
+ sky130_fd_sc_hd__dfxtp_1 _17338_ (.D(_01885_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
-    .CLK(clknet_leaf_94_usb_clk),
+    .CLK(clknet_leaf_91_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18291_ (.D(_01886_),
+ sky130_fd_sc_hd__dfxtp_1 _17339_ (.D(_01886_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_107_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18292_ (.D(_01887_),
+ sky130_fd_sc_hd__dfxtp_1 _17340_ (.D(_01887_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_107_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18293_ (.D(_01888_),
+ sky130_fd_sc_hd__dfxtp_1 _17341_ (.D(_01888_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
-    .CLK(clknet_leaf_92_usb_clk),
+    .CLK(clknet_leaf_121_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18294_ (.D(_01889_),
+ sky130_fd_sc_hd__dfxtp_1 _17342_ (.D(_01889_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_107_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18295_ (.D(_01890_),
+ sky130_fd_sc_hd__dfxtp_1 _17343_ (.D(_01890_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_120_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18296_ (.D(_01891_),
+ sky130_fd_sc_hd__dfxtp_1 _17344_ (.D(_01891_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_121_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18297_ (.D(_01892_),
+ sky130_fd_sc_hd__dfxtp_1 _17345_ (.D(_01892_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_121_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18298_ (.D(_01893_),
+ sky130_fd_sc_hd__dfxtp_1 _17346_ (.D(_01893_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_120_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18299_ (.D(_01894_),
+ sky130_fd_sc_hd__dfxtp_1 _17347_ (.D(_01894_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_116_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18300_ (.D(_01895_),
+ sky130_fd_sc_hd__dfxtp_1 _17348_ (.D(_01895_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
-    .CLK(clknet_leaf_89_usb_clk),
+    .CLK(clknet_leaf_113_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18301_ (.D(_01896_),
+ sky130_fd_sc_hd__dfxtp_1 _17349_ (.D(_01896_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
-    .CLK(clknet_leaf_89_usb_clk),
+    .CLK(clknet_leaf_113_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18302_ (.D(_01897_),
+ sky130_fd_sc_hd__dfxtp_1 _17350_ (.D(_01897_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
-    .CLK(clknet_leaf_89_usb_clk),
+    .CLK(clknet_leaf_116_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18303_ (.D(_01898_),
+ sky130_fd_sc_hd__dfxtp_1 _17351_ (.D(_01898_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
-    .CLK(clknet_leaf_89_usb_clk),
+    .CLK(clknet_leaf_116_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18304_ (.D(_01899_),
+ sky130_fd_sc_hd__dfxtp_1 _17352_ (.D(_01899_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_115_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18305_ (.D(_01900_),
+ sky130_fd_sc_hd__dfxtp_1 _17353_ (.D(_01900_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_115_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18306_ (.D(_01901_),
+ sky130_fd_sc_hd__dfxtp_1 _17354_ (.D(_01901_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
-    .CLK(clknet_leaf_89_usb_clk),
+    .CLK(clknet_leaf_116_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18307_ (.D(_01902_),
+ sky130_fd_sc_hd__dfxtp_1 _17355_ (.D(_01902_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_108_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18308_ (.D(_01903_),
+ sky130_fd_sc_hd__dfxtp_1 _17356_ (.D(_01903_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_108_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18309_ (.D(_01904_),
+ sky130_fd_sc_hd__dfxtp_1 _17357_ (.D(_01904_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_108_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18310_ (.D(_01905_),
+ sky130_fd_sc_hd__dfxtp_1 _17358_ (.D(_01905_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_108_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18311_ (.D(_01906_),
+ sky130_fd_sc_hd__dfxtp_1 _17359_ (.D(_01906_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_113_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18312_ (.D(_01907_),
+ sky130_fd_sc_hd__dfxtp_1 _17360_ (.D(_01907_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
-    .CLK(clknet_leaf_91_usb_clk),
+    .CLK(clknet_leaf_113_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18313_ (.D(_01908_),
+ sky130_fd_sc_hd__dfxtp_1 _17361_ (.D(_01908_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_113_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18314_ (.D(_01909_),
+ sky130_fd_sc_hd__dfxtp_1 _17362_ (.D(_01909_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
-    .CLK(clknet_leaf_90_usb_clk),
+    .CLK(clknet_leaf_113_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18315_ (.D(_01910_),
+ sky130_fd_sc_hd__dfxtp_1 _17363_ (.D(_01910_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
-    .CLK(clknet_leaf_88_usb_clk),
+    .CLK(clknet_leaf_95_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18316_ (.D(_01911_),
+ sky130_fd_sc_hd__dfxtp_1 _17364_ (.D(_01911_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
-    .CLK(clknet_leaf_88_usb_clk),
+    .CLK(clknet_leaf_95_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18317_ (.D(_01912_),
+ sky130_fd_sc_hd__dfxtp_1 _17365_ (.D(_01912_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
-    .CLK(clknet_leaf_88_usb_clk),
+    .CLK(clknet_leaf_95_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18318_ (.D(_01913_),
+ sky130_fd_sc_hd__dfxtp_1 _17366_ (.D(_01913_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
-    .CLK(clknet_leaf_88_usb_clk),
+    .CLK(clknet_leaf_95_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18319_ (.D(_01914_),
+ sky130_fd_sc_hd__dfxtp_1 _17367_ (.D(_01914_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
-    .CLK(clknet_leaf_89_usb_clk),
+    .CLK(clknet_leaf_95_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18320_ (.D(_01915_),
+ sky130_fd_sc_hd__dfxtp_1 _17368_ (.D(_01915_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
-    .CLK(clknet_leaf_92_usb_clk),
+    .CLK(clknet_leaf_96_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18321_ (.D(_01916_),
+ sky130_fd_sc_hd__dfxtp_1 _17369_ (.D(_01916_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
+    .CLK(clknet_leaf_95_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17370_ (.D(_01917_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
+    .CLK(clknet_leaf_95_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17371_ (.D(_01918_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
     .CLK(clknet_leaf_88_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18322_ (.D(_01917_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
-    .CLK(clknet_leaf_92_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18323_ (.D(_01918_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
-    .CLK(clknet_leaf_92_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18324_ (.D(_01919_),
+ sky130_fd_sc_hd__dfxtp_1 _17372_ (.D(_01919_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
-    .CLK(clknet_leaf_92_usb_clk),
+    .CLK(clknet_leaf_88_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18325_ (.D(_01920_),
+ sky130_fd_sc_hd__dfxtp_1 _17373_ (.D(_01920_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
-    .CLK(clknet_leaf_92_usb_clk),
+    .CLK(clknet_leaf_88_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18326_ (.D(_01921_),
+ sky130_fd_sc_hd__dfxtp_1 _17374_ (.D(_01921_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
-    .CLK(clknet_leaf_92_usb_clk),
+    .CLK(clknet_leaf_88_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18327_ (.D(_01922_),
+ sky130_fd_sc_hd__dfxtp_1 _17375_ (.D(_01922_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
     .CLK(clknet_leaf_91_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18328_ (.D(_01923_),
+ sky130_fd_sc_hd__dfxtp_1 _17376_ (.D(_01923_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
+    .CLK(clknet_leaf_88_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17377_ (.D(_01924_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
     .CLK(clknet_leaf_91_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18329_ (.D(_01924_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
+ sky130_fd_sc_hd__dfxtp_1 _17378_ (.D(_01925_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
+    .CLK(clknet_leaf_88_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17379_ (.D(_01926_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
+    .CLK(clknet_leaf_124_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17380_ (.D(_01927_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
+    .CLK(clknet_leaf_122_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17381_ (.D(_01928_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17382_ (.D(_01929_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
+    .CLK(clknet_leaf_122_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17383_ (.D(_01930_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
+    .CLK(clknet_leaf_119_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17384_ (.D(_01931_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17385_ (.D(_01932_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
+    .CLK(clknet_leaf_119_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17386_ (.D(_01933_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17387_ (.D(_01934_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17388_ (.D(_01935_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17389_ (.D(_01936_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
+    .CLK(clknet_leaf_88_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17390_ (.D(_01937_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17391_ (.D(_01938_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
+    .CLK(clknet_leaf_104_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17392_ (.D(_01939_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17393_ (.D(_01940_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
+    .CLK(clknet_leaf_104_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17394_ (.D(_01941_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
+    .CLK(clknet_leaf_104_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17395_ (.D(_01942_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
+    .CLK(clknet_leaf_89_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17396_ (.D(_01943_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
+    .CLK(clknet_leaf_89_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17397_ (.D(_01944_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
+    .CLK(clknet_leaf_89_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17398_ (.D(_01945_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17399_ (.D(_01946_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17400_ (.D(_01947_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17401_ (.D(_01948_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
+    .CLK(clknet_leaf_91_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17402_ (.D(_01949_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17403_ (.D(_01950_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
+    .CLK(clknet_leaf_107_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17404_ (.D(_01951_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
+    .CLK(clknet_leaf_108_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17405_ (.D(_01952_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
+    .CLK(clknet_leaf_109_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17406_ (.D(_01953_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
+    .CLK(clknet_leaf_108_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17407_ (.D(_01954_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17408_ (.D(_01955_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17409_ (.D(_01956_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17410_ (.D(_01957_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
+    .CLK(clknet_leaf_121_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17411_ (.D(_01958_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17412_ (.D(_01959_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17413_ (.D(_01960_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17414_ (.D(_01961_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17415_ (.D(_01962_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
+    .CLK(clknet_leaf_69_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17416_ (.D(_01963_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17417_ (.D(_01964_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
+    .CLK(clknet_leaf_69_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17418_ (.D(_01965_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
+    .CLK(clknet_leaf_71_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17419_ (.D(_01966_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17420_ (.D(_01967_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17421_ (.D(_01968_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
+    .CLK(clknet_leaf_84_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17422_ (.D(_01969_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17423_ (.D(_01970_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17424_ (.D(_01971_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
+    .CLK(clknet_leaf_84_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17425_ (.D(_01972_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17426_ (.D(_01973_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17427_ (.D(_01974_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
+    .CLK(clknet_leaf_115_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17428_ (.D(_01975_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
+    .CLK(clknet_leaf_115_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17429_ (.D(_01976_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
+    .CLK(clknet_leaf_115_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17430_ (.D(_01977_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
+    .CLK(clknet_leaf_115_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17431_ (.D(_01978_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
+    .CLK(clknet_leaf_145_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17432_ (.D(_01979_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
+    .CLK(clknet_leaf_115_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17433_ (.D(_01980_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
+    .CLK(clknet_leaf_145_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17434_ (.D(_01981_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
+    .CLK(clknet_leaf_115_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17435_ (.D(_01982_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
+    .CLK(clknet_leaf_78_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17436_ (.D(_01983_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
+    .CLK(clknet_leaf_77_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17437_ (.D(_01984_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
+    .CLK(clknet_leaf_75_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17438_ (.D(_01985_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
+    .CLK(clknet_leaf_77_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17439_ (.D(_01986_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17440_ (.D(_01987_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17441_ (.D(_01988_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
+    .CLK(clknet_leaf_70_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17442_ (.D(_01989_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
+    .CLK(clknet_leaf_74_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17443_ (.D(_01990_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
+    .CLK(clknet_leaf_93_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17444_ (.D(_01991_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
+    .CLK(clknet_leaf_94_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17445_ (.D(_01992_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
+    .CLK(clknet_leaf_90_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17446_ (.D(_01993_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
+    .CLK(clknet_leaf_93_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17447_ (.D(_01994_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
     .CLK(clknet_leaf_92_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18330_ (.D(_01925_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
+ sky130_fd_sc_hd__dfxtp_1 _17448_ (.D(_01995_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
+    .CLK(clknet_leaf_92_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17449_ (.D(_01996_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
+    .CLK(clknet_leaf_92_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17450_ (.D(_01997_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
     .CLK(clknet_leaf_91_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18331_ (.D(_01926_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18332_ (.D(_01927_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
-    .CLK(clknet_leaf_86_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18333_ (.D(_01928_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18334_ (.D(_01929_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18335_ (.D(_01930_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
-    .CLK(clknet_leaf_104_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18336_ (.D(_01931_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
-    .CLK(clknet_leaf_104_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18337_ (.D(_01932_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
-    .CLK(clknet_leaf_86_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18338_ (.D(_01933_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
-    .CLK(clknet_leaf_86_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18339_ (.D(_01934_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
-    .CLK(clknet_leaf_100_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18340_ (.D(_01935_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
-    .CLK(clknet_leaf_101_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18341_ (.D(_01936_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18342_ (.D(_01937_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18343_ (.D(_01938_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
-    .CLK(clknet_leaf_99_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18344_ (.D(_01939_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
-    .CLK(clknet_leaf_99_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18345_ (.D(_01940_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
-    .CLK(clknet_leaf_99_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18346_ (.D(_01941_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
-    .CLK(clknet_leaf_99_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18347_ (.D(_01942_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
-    .CLK(clknet_leaf_93_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18348_ (.D(_01943_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
-    .CLK(clknet_leaf_93_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18349_ (.D(_01944_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
-    .CLK(clknet_leaf_96_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18350_ (.D(_01945_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
-    .CLK(clknet_leaf_96_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18351_ (.D(_01946_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
-    .CLK(clknet_leaf_94_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18352_ (.D(_01947_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
-    .CLK(clknet_leaf_94_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18353_ (.D(_01948_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
-    .CLK(clknet_leaf_95_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18354_ (.D(_01949_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
-    .CLK(clknet_leaf_94_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18355_ (.D(_01950_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18356_ (.D(_01951_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
-    .CLK(clknet_leaf_89_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18357_ (.D(_01952_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
-    .CLK(clknet_leaf_83_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18358_ (.D(_01953_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
-    .CLK(clknet_leaf_89_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18359_ (.D(_01954_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
-    .CLK(clknet_leaf_82_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18360_ (.D(_01955_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
-    .CLK(clknet_leaf_82_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18361_ (.D(_01956_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
-    .CLK(clknet_leaf_83_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18362_ (.D(_01957_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
-    .CLK(clknet_leaf_83_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18363_ (.D(_01958_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18364_ (.D(_01959_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18365_ (.D(_01960_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18366_ (.D(_01961_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18367_ (.D(_01962_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18368_ (.D(_01963_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18369_ (.D(_01964_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18370_ (.D(_01965_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
-    .CLK(clknet_leaf_112_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18371_ (.D(_01966_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18372_ (.D(_01967_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18373_ (.D(_01968_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18374_ (.D(_01969_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18375_ (.D(_01970_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18376_ (.D(_01971_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18377_ (.D(_01972_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
-    .CLK(clknet_leaf_110_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18378_ (.D(_01973_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18379_ (.D(_01974_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
-    .CLK(clknet_leaf_97_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18380_ (.D(_01975_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
-    .CLK(clknet_leaf_97_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18381_ (.D(_01976_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18382_ (.D(_01977_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18383_ (.D(_01978_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18384_ (.D(_01979_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18385_ (.D(_01980_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18386_ (.D(_01981_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
-    .CLK(clknet_leaf_98_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18387_ (.D(_01982_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18388_ (.D(_01983_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18389_ (.D(_01984_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18390_ (.D(_01985_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
-    .CLK(clknet_leaf_111_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18391_ (.D(_01986_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18392_ (.D(_01987_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18393_ (.D(_01988_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18394_ (.D(_01989_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
-    .CLK(clknet_leaf_109_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18395_ (.D(_01990_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
-    .CLK(clknet_leaf_88_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18396_ (.D(_01991_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
-    .CLK(clknet_leaf_88_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18397_ (.D(_01992_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
-    .CLK(clknet_leaf_102_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18398_ (.D(_01993_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
-    .CLK(clknet_leaf_88_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18399_ (.D(_01994_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
-    .CLK(clknet_leaf_102_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18400_ (.D(_01995_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
-    .CLK(clknet_leaf_102_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18401_ (.D(_01996_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
-    .CLK(clknet_leaf_102_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18402_ (.D(_01997_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
-    .CLK(clknet_leaf_88_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18403_ (.D(_01998_),
+ sky130_fd_sc_hd__dfxtp_1 _17451_ (.D(_01998_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
-    .CLK(clknet_leaf_104_usb_clk),
+    .CLK(clknet_leaf_81_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18404_ (.D(_01999_),
+ sky130_fd_sc_hd__dfxtp_1 _17452_ (.D(_01999_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
-    .CLK(clknet_leaf_104_usb_clk),
+    .CLK(clknet_leaf_81_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18405_ (.D(_02000_),
+ sky130_fd_sc_hd__dfxtp_1 _17453_ (.D(_02000_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
-    .CLK(clknet_leaf_104_usb_clk),
+    .CLK(clknet_leaf_80_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18406_ (.D(_02001_),
+ sky130_fd_sc_hd__dfxtp_1 _17454_ (.D(_02001_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
-    .CLK(clknet_leaf_104_usb_clk),
+    .CLK(clknet_leaf_81_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18407_ (.D(_02002_),
+ sky130_fd_sc_hd__dfxtp_1 _17455_ (.D(_02002_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
-    .CLK(clknet_leaf_105_usb_clk),
+    .CLK(clknet_leaf_81_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18408_ (.D(_02003_),
+ sky130_fd_sc_hd__dfxtp_1 _17456_ (.D(_02003_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
+    .CLK(clknet_leaf_79_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17457_ (.D(_02004_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
+    .CLK(clknet_leaf_83_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17458_ (.D(_02005_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
+    .CLK(clknet_leaf_81_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17459_ (.D(_02006_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17460_ (.D(_02007_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17461_ (.D(_02008_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17462_ (.D(_02009_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17463_ (.D(_02010_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
+    .CLK(clknet_leaf_87_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17464_ (.D(_02011_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
+    .CLK(clknet_leaf_86_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17465_ (.D(_02012_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
     .CLK(clknet_leaf_105_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18409_ (.D(_02004_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
-    .CLK(clknet_leaf_103_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18410_ (.D(_02005_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
-    .CLK(clknet_leaf_103_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18411_ (.D(_02006_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
-    .CLK(clknet_leaf_103_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18412_ (.D(_02007_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
-    .CLK(clknet_leaf_103_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18413_ (.D(_02008_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
-    .CLK(clknet_leaf_103_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18414_ (.D(_02009_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
-    .CLK(clknet_leaf_102_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18415_ (.D(_02010_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
-    .CLK(clknet_leaf_103_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18416_ (.D(_02011_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
-    .CLK(clknet_leaf_103_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18417_ (.D(_02012_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
-    .CLK(clknet_leaf_103_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18418_ (.D(_02013_),
+ sky130_fd_sc_hd__dfxtp_1 _17466_ (.D(_02013_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ),
-    .CLK(clknet_leaf_103_usb_clk),
+    .CLK(clknet_leaf_86_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18419_ (.D(_02014_),
+ sky130_fd_sc_hd__dfxtp_1 _17467_ (.D(_02014_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18420_ (.D(_02015_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18421_ (.D(_02016_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18422_ (.D(_02017_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18423_ (.D(_02018_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18424_ (.D(_02019_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
-    .CLK(clknet_leaf_89_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18425_ (.D(_02020_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18426_ (.D(_02021_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
-    .CLK(clknet_leaf_89_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18427_ (.D(_02022_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18428_ (.D(_02023_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18429_ (.D(_02024_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18430_ (.D(_02025_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18431_ (.D(_02026_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18432_ (.D(_02027_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18433_ (.D(_02028_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18434_ (.D(_02029_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
-    .CLK(clknet_leaf_84_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18435_ (.D(_02030_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18436_ (.D(_02031_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18437_ (.D(_02032_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18438_ (.D(_02033_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18439_ (.D(_02034_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
-    .CLK(clknet_leaf_70_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18440_ (.D(_02035_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
     .CLK(clknet_leaf_119_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18441_ (.D(_02036_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
-    .CLK(clknet_leaf_70_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18442_ (.D(_02037_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18443_ (.D(_02038_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18444_ (.D(_02039_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18445_ (.D(_02040_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18446_ (.D(_02041_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18447_ (.D(_02042_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
-    .CLK(clknet_leaf_70_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18448_ (.D(_02043_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
-    .CLK(clknet_leaf_71_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18449_ (.D(_02044_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
-    .CLK(clknet_leaf_70_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18450_ (.D(_02045_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18451_ (.D(_02046_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18452_ (.D(_02047_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
-    .CLK(clknet_leaf_74_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18453_ (.D(_02048_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
-    .CLK(clknet_leaf_74_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18454_ (.D(_02049_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18455_ (.D(_02050_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18456_ (.D(_02051_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18457_ (.D(_02052_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18458_ (.D(_02053_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18459_ (.D(_02054_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
-    .CLK(clknet_leaf_104_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18460_ (.D(_02055_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
-    .CLK(clknet_leaf_104_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18461_ (.D(_02056_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
-    .CLK(clknet_leaf_104_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18462_ (.D(_02057_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18463_ (.D(_02058_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18464_ (.D(_02059_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18465_ (.D(_02060_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18466_ (.D(_02061_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
-    .CLK(clknet_leaf_87_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18467_ (.D(_02062_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
-    .CLK(clknet_leaf_71_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18468_ (.D(_02063_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
-    .CLK(clknet_leaf_71_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18469_ (.D(_02064_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
-    .CLK(clknet_leaf_71_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18470_ (.D(_02065_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18471_ (.D(_02066_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18472_ (.D(_02067_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18473_ (.D(_02068_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18474_ (.D(_02069_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
-    .CLK(clknet_leaf_85_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18475_ (.D(_02070_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
-    .CLK(clknet_leaf_121_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18476_ (.D(_02071_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
-    .CLK(clknet_leaf_68_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18477_ (.D(_02072_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+ sky130_fd_sc_hd__dfxtp_1 _17468_ (.D(_02015_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
     .CLK(clknet_leaf_120_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18478_ (.D(_02073_),
+ sky130_fd_sc_hd__dfxtp_1 _17469_ (.D(_02016_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
+    .CLK(clknet_leaf_120_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17470_ (.D(_02017_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
+    .CLK(clknet_leaf_120_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17471_ (.D(_02018_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
+    .CLK(clknet_leaf_120_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17472_ (.D(_02019_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
+    .CLK(clknet_leaf_116_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17473_ (.D(_02020_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
+    .CLK(clknet_leaf_117_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17474_ (.D(_02021_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
+    .CLK(clknet_leaf_120_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17475_ (.D(_02022_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17476_ (.D(_02023_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17477_ (.D(_02024_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
+    .CLK(clknet_leaf_105_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17478_ (.D(_02025_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
+    .CLK(clknet_leaf_103_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17479_ (.D(_02026_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
+    .CLK(clknet_leaf_107_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17480_ (.D(_02027_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
+    .CLK(clknet_leaf_107_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17481_ (.D(_02028_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
+    .CLK(clknet_leaf_106_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17482_ (.D(_02029_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
+    .CLK(clknet_leaf_107_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17483_ (.D(_02030_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17484_ (.D(_02031_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17485_ (.D(_02032_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17486_ (.D(_02033_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
+    .CLK(clknet_leaf_125_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17487_ (.D(_02034_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17488_ (.D(_02035_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17489_ (.D(_02036_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17490_ (.D(_02037_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
+    .CLK(clknet_leaf_126_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17491_ (.D(_02038_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
+    .CLK(clknet_leaf_100_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17492_ (.D(_02039_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
+    .CLK(clknet_leaf_100_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17493_ (.D(_02040_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
+    .CLK(clknet_leaf_96_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17494_ (.D(_02041_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
+    .CLK(clknet_leaf_96_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17495_ (.D(_02042_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
+    .CLK(clknet_leaf_100_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17496_ (.D(_02043_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
+    .CLK(clknet_leaf_100_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17497_ (.D(_02044_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
+    .CLK(clknet_leaf_100_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17498_ (.D(_02045_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
+    .CLK(clknet_leaf_100_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17499_ (.D(_02046_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
+    .CLK(clknet_leaf_102_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17500_ (.D(_02047_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
+    .CLK(clknet_leaf_101_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17501_ (.D(_02048_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
+    .CLK(clknet_leaf_102_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17502_ (.D(_02049_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
+    .CLK(clknet_leaf_101_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17503_ (.D(_02050_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
+    .CLK(clknet_leaf_110_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17504_ (.D(_02051_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
+    .CLK(clknet_leaf_110_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17505_ (.D(_02052_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
+    .CLK(clknet_leaf_110_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17506_ (.D(_02053_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
+    .CLK(clknet_leaf_111_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17507_ (.D(_02054_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
+    .CLK(clknet_leaf_94_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17508_ (.D(_02055_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
+    .CLK(clknet_leaf_94_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17509_ (.D(_02056_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
+    .CLK(clknet_leaf_94_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17510_ (.D(_02057_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
+    .CLK(clknet_leaf_94_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17511_ (.D(_02058_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
+    .CLK(clknet_leaf_93_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17512_ (.D(_02059_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
+    .CLK(clknet_leaf_95_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17513_ (.D(_02060_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
+    .CLK(clknet_leaf_95_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17514_ (.D(_02061_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
+    .CLK(clknet_leaf_97_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17515_ (.D(_02062_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
+    .CLK(clknet_leaf_105_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17516_ (.D(_02063_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
+    .CLK(clknet_leaf_105_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17517_ (.D(_02064_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
+    .CLK(clknet_leaf_105_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17518_ (.D(_02065_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
+    .CLK(clknet_leaf_105_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17519_ (.D(_02066_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
+    .CLK(clknet_leaf_123_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17520_ (.D(_02067_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
+    .CLK(clknet_leaf_106_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17521_ (.D(_02068_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
+    .CLK(clknet_leaf_106_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17522_ (.D(_02069_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
+    .CLK(clknet_leaf_106_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17523_ (.D(_02070_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
+    .CLK(clknet_leaf_65_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17524_ (.D(_02071_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17525_ (.D(_02072_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+    .CLK(clknet_leaf_65_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17526_ (.D(_02073_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
-    .CLK(clknet_leaf_121_usb_clk),
+    .CLK(clknet_leaf_66_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18479_ (.D(_02074_),
+ sky130_fd_sc_hd__dfxtp_1 _17527_ (.D(_02074_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
-    .CLK(clknet_leaf_119_usb_clk),
+    .CLK(clknet_leaf_66_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18480_ (.D(_02075_),
+ sky130_fd_sc_hd__dfxtp_1 _17528_ (.D(_02075_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
-    .CLK(clknet_leaf_119_usb_clk),
+    .CLK(clknet_leaf_131_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18481_ (.D(_02076_),
+ sky130_fd_sc_hd__dfxtp_1 _17529_ (.D(_02076_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
-    .CLK(clknet_leaf_70_usb_clk),
+    .CLK(clknet_leaf_61_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18482_ (.D(_02077_),
+ sky130_fd_sc_hd__dfxtp_1 _17530_ (.D(_02077_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
-    .CLK(clknet_leaf_121_usb_clk),
+    .CLK(clknet_leaf_66_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18483_ (.D(_02078_),
+ sky130_fd_sc_hd__dfxtp_1 _17531_ (.D(_02078_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
-    .CLK(clknet_leaf_118_usb_clk),
+    .CLK(clknet_leaf_80_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18484_ (.D(_02079_),
+ sky130_fd_sc_hd__dfxtp_1 _17532_ (.D(_02079_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
-    .CLK(clknet_leaf_118_usb_clk),
+    .CLK(clknet_leaf_80_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18485_ (.D(_02080_),
+ sky130_fd_sc_hd__dfxtp_1 _17533_ (.D(_02080_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
-    .CLK(clknet_leaf_118_usb_clk),
+    .CLK(clknet_leaf_80_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18486_ (.D(_02081_),
+ sky130_fd_sc_hd__dfxtp_1 _17534_ (.D(_02081_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
-    .CLK(clknet_leaf_118_usb_clk),
+    .CLK(clknet_leaf_79_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18487_ (.D(_02082_),
+ sky130_fd_sc_hd__dfxtp_1 _17535_ (.D(_02082_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
-    .CLK(clknet_leaf_119_usb_clk),
+    .CLK(clknet_leaf_79_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18488_ (.D(_02083_),
+ sky130_fd_sc_hd__dfxtp_1 _17536_ (.D(_02083_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
-    .CLK(clknet_leaf_118_usb_clk),
+    .CLK(clknet_leaf_78_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18489_ (.D(_02084_),
+ sky130_fd_sc_hd__dfxtp_1 _17537_ (.D(_02084_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
-    .CLK(clknet_leaf_104_usb_clk),
+    .CLK(clknet_leaf_79_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18490_ (.D(_02085_),
+ sky130_fd_sc_hd__dfxtp_1 _17538_ (.D(_02085_),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
-    .CLK(clknet_leaf_118_usb_clk),
+    .CLK(clknet_leaf_81_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18491_ (.D(_02086_),
+ sky130_fd_sc_hd__dfxtp_1 _17539_ (.D(_02086_),
     .Q(\u_uart_core.u_txfifo.mem[7][0] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18492_ (.D(_02087_),
+ sky130_fd_sc_hd__dfxtp_1 _17540_ (.D(_02087_),
     .Q(\u_uart_core.u_txfifo.mem[7][1] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18493_ (.D(_02088_),
+ sky130_fd_sc_hd__dfxtp_1 _17541_ (.D(_02088_),
     .Q(\u_uart_core.u_txfifo.mem[7][2] ),
+    .CLK(clknet_leaf_6_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17542_ (.D(_02089_),
+    .Q(\u_uart_core.u_txfifo.mem[7][3] ),
+    .CLK(clknet_leaf_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17543_ (.D(_02090_),
+    .Q(\u_uart_core.u_txfifo.mem[7][4] ),
+    .CLK(clknet_leaf_6_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17544_ (.D(_02091_),
+    .Q(\u_uart_core.u_txfifo.mem[7][5] ),
     .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18494_ (.D(_02089_),
-    .Q(\u_uart_core.u_txfifo.mem[7][3] ),
-    .CLK(clknet_leaf_15_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18495_ (.D(_02090_),
-    .Q(\u_uart_core.u_txfifo.mem[7][4] ),
-    .CLK(clknet_leaf_8_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18496_ (.D(_02091_),
-    .Q(\u_uart_core.u_txfifo.mem[7][5] ),
-    .CLK(clknet_leaf_8_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18497_ (.D(_02092_),
+ sky130_fd_sc_hd__dfxtp_1 _17545_ (.D(_02092_),
     .Q(\u_uart_core.u_txfifo.mem[7][6] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18498_ (.D(_02093_),
+ sky130_fd_sc_hd__dfxtp_1 _17546_ (.D(_02093_),
     .Q(\u_uart_core.u_txfifo.mem[7][7] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18499_ (.D(_02094_),
+ sky130_fd_sc_hd__dfxtp_1 _17547_ (.D(_02094_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
-    .CLK(clknet_leaf_21_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18500_ (.D(_02095_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
-    .CLK(clknet_leaf_18_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18501_ (.D(_02096_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
     .CLK(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18502_ (.D(_02097_),
+ sky130_fd_sc_hd__dfxtp_1 _17548_ (.D(_02095_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
+    .CLK(clknet_leaf_23_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17549_ (.D(_02096_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
+    .CLK(clknet_leaf_17_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17550_ (.D(_02097_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
     .CLK(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18503_ (.D(_02098_),
+ sky130_fd_sc_hd__dfxtp_1 _17551_ (.D(_02098_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
     .CLK(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18504_ (.D(_02099_),
+ sky130_fd_sc_hd__dfxtp_1 _17552_ (.D(_02099_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
-    .CLK(clknet_leaf_21_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18505_ (.D(_02100_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
-    .CLK(clknet_leaf_21_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18506_ (.D(_02101_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
     .CLK(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18507_ (.D(_02102_),
+ sky130_fd_sc_hd__dfxtp_1 _17553_ (.D(_02100_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
+    .CLK(clknet_leaf_25_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17554_ (.D(_02101_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
+    .CLK(clknet_leaf_22_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17555_ (.D(_02102_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
-    .CLK(clknet_leaf_28_usb_clk),
+    .CLK(clknet_leaf_27_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18508_ (.D(_02103_),
+ sky130_fd_sc_hd__dfxtp_1 _17556_ (.D(_02103_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
-    .CLK(clknet_leaf_29_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18509_ (.D(_02104_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
-    .CLK(clknet_leaf_28_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18510_ (.D(_02105_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
-    .CLK(clknet_leaf_28_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18511_ (.D(_02106_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
-    .CLK(clknet_leaf_29_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18512_ (.D(_02107_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
-    .CLK(clknet_leaf_29_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18513_ (.D(_02108_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
-    .CLK(clknet_leaf_29_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18514_ (.D(_02109_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
     .CLK(clknet_leaf_30_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18515_ (.D(_02110_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
-    .CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17557_ (.D(_02104_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
+    .CLK(clknet_leaf_29_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18516_ (.D(_02111_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
+ sky130_fd_sc_hd__dfxtp_1 _17558_ (.D(_02105_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
+    .CLK(clknet_leaf_27_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17559_ (.D(_02106_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
+    .CLK(clknet_leaf_28_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17560_ (.D(_02107_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
+    .CLK(clknet_leaf_28_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17561_ (.D(_02108_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
+    .CLK(clknet_leaf_30_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17562_ (.D(_02109_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
+    .CLK(clknet_leaf_31_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17563_ (.D(_02110_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
     .CLK(clknet_leaf_33_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18517_ (.D(_02112_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
-    .CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17564_ (.D(_02111_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
+    .CLK(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18518_ (.D(_02113_),
+ sky130_fd_sc_hd__dfxtp_1 _17565_ (.D(_02112_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
+    .CLK(clknet_leaf_33_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17566_ (.D(_02113_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
     .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18519_ (.D(_02114_),
+ sky130_fd_sc_hd__dfxtp_1 _17567_ (.D(_02114_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
     .CLK(clknet_leaf_34_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18520_ (.D(_02115_),
+ sky130_fd_sc_hd__dfxtp_1 _17568_ (.D(_02115_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
-    .CLK(clknet_leaf_31_usb_clk),
+    .CLK(clknet_leaf_34_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18521_ (.D(_02116_),
+ sky130_fd_sc_hd__dfxtp_1 _17569_ (.D(_02116_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
     .CLK(clknet_leaf_34_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18522_ (.D(_02117_),
+ sky130_fd_sc_hd__dfxtp_1 _17570_ (.D(_02117_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
-    .CLK(clknet_leaf_34_usb_clk),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18523_ (.D(_02118_),
+ sky130_fd_sc_hd__dfxtp_1 _17571_ (.D(_02118_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
-    .CLK(clknet_leaf_31_usb_clk),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18524_ (.D(_02119_),
+ sky130_fd_sc_hd__dfxtp_1 _17572_ (.D(_02119_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
-    .CLK(clknet_leaf_31_usb_clk),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18525_ (.D(_02120_),
+ sky130_fd_sc_hd__dfxtp_1 _17573_ (.D(_02120_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
-    .CLK(clknet_leaf_31_usb_clk),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18526_ (.D(_02121_),
+ sky130_fd_sc_hd__dfxtp_1 _17574_ (.D(_02121_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
-    .CLK(clknet_leaf_31_usb_clk),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18527_ (.D(_02122_),
+ sky130_fd_sc_hd__dfxtp_1 _17575_ (.D(_02122_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
     .CLK(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18528_ (.D(_02123_),
+ sky130_fd_sc_hd__dfxtp_1 _17576_ (.D(_02123_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
-    .CLK(clknet_leaf_30_usb_clk),
+    .CLK(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18529_ (.D(_02124_),
+ sky130_fd_sc_hd__dfxtp_1 _17577_ (.D(_02124_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
-    .CLK(clknet_leaf_30_usb_clk),
+    .CLK(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18530_ (.D(_02125_),
+ sky130_fd_sc_hd__dfxtp_1 _17578_ (.D(_02125_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
-    .CLK(clknet_leaf_30_usb_clk),
+    .CLK(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18531_ (.D(_02126_),
+ sky130_fd_sc_hd__dfxtp_1 _17579_ (.D(_02126_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18532_ (.D(_02127_),
+ sky130_fd_sc_hd__dfxtp_1 _17580_ (.D(_02127_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
-    .CLK(clknet_leaf_14_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18533_ (.D(_02128_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
     .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18534_ (.D(_02129_),
+ sky130_fd_sc_hd__dfxtp_1 _17581_ (.D(_02128_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
+    .CLK(clknet_leaf_13_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17582_ (.D(_02129_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18535_ (.D(_02130_),
+ sky130_fd_sc_hd__dfxtp_1 _17583_ (.D(_02130_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18536_ (.D(_02131_),
+ sky130_fd_sc_hd__dfxtp_1 _17584_ (.D(_02131_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
-    .CLK(clknet_leaf_8_app_clk),
+    .CLK(clknet_leaf_13_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18537_ (.D(_02132_),
+ sky130_fd_sc_hd__dfxtp_1 _17585_ (.D(_02132_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
-    .CLK(clknet_leaf_7_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18538_ (.D(_02133_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
-    .CLK(clknet_leaf_8_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18539_ (.D(_02134_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18540_ (.D(_02135_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18541_ (.D(_02136_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18542_ (.D(_02137_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
-    .CLK(clknet_leaf_10_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18543_ (.D(_02138_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
-    .CLK(clknet_leaf_9_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18544_ (.D(_02139_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
     .CLK(clknet_leaf_12_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18545_ (.D(_02140_),
+ sky130_fd_sc_hd__dfxtp_1 _17586_ (.D(_02133_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
+    .CLK(clknet_leaf_12_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17587_ (.D(_02134_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
+    .CLK(clknet_leaf_11_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17588_ (.D(_02135_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
+    .CLK(clknet_leaf_12_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17589_ (.D(_02136_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
+    .CLK(clknet_leaf_11_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17590_ (.D(_02137_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
+    .CLK(clknet_leaf_12_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17591_ (.D(_02138_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
+    .CLK(clknet_leaf_12_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17592_ (.D(_02139_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
+    .CLK(clknet_leaf_10_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17593_ (.D(_02140_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18546_ (.D(_02141_),
+ sky130_fd_sc_hd__dfxtp_1 _17594_ (.D(_02141_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18547_ (.D(_02142_),
+ sky130_fd_sc_hd__dfxtp_1 _17595_ (.D(_02142_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
     .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18548_ (.D(_02143_),
+ sky130_fd_sc_hd__dfxtp_1 _17596_ (.D(_02143_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18549_ (.D(_02144_),
+ sky130_fd_sc_hd__dfxtp_1 _17597_ (.D(_02144_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18550_ (.D(_02145_),
+ sky130_fd_sc_hd__dfxtp_1 _17598_ (.D(_02145_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18551_ (.D(_02146_),
+ sky130_fd_sc_hd__dfxtp_1 _17599_ (.D(_02146_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18552_ (.D(_02147_),
+ sky130_fd_sc_hd__dfxtp_1 _17600_ (.D(_02147_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18553_ (.D(_02148_),
+ sky130_fd_sc_hd__dfxtp_1 _17601_ (.D(_02148_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
-    .CLK(clknet_leaf_13_app_clk),
+    .CLK(clknet_leaf_10_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18554_ (.D(_02149_),
+ sky130_fd_sc_hd__dfxtp_1 _17602_ (.D(_02149_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
-    .CLK(clknet_leaf_11_app_clk),
+    .CLK(clknet_leaf_9_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18555_ (.D(_02150_),
+ sky130_fd_sc_hd__dfxtp_1 _17603_ (.D(_02150_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ),
-    .CLK(clknet_leaf_13_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18556_ (.D(_02151_),
+ sky130_fd_sc_hd__dfxtp_1 _17604_ (.D(_02151_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ),
-    .CLK(clknet_leaf_13_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18557_ (.D(_02152_),
+ sky130_fd_sc_hd__dfxtp_1 _17605_ (.D(_02152_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
-    .CLK(clknet_leaf_12_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18558_ (.D(_02153_),
+ sky130_fd_sc_hd__dfxtp_1 _17606_ (.D(_02153_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18559_ (.D(_02154_),
+ sky130_fd_sc_hd__dfxtp_1 _17607_ (.D(_02154_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18560_ (.D(_02155_),
+ sky130_fd_sc_hd__dfxtp_1 _17608_ (.D(_02155_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
-    .CLK(clknet_leaf_14_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18561_ (.D(_02156_),
+ sky130_fd_sc_hd__dfxtp_1 _17609_ (.D(_02156_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][40] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18562_ (.D(_02157_),
+ sky130_fd_sc_hd__dfxtp_1 _17610_ (.D(_02157_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18563_ (.D(_02158_),
+ sky130_fd_sc_hd__dfxtp_1 _17611_ (.D(_02158_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][42] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_8_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18564_ (.D(_02159_),
+ sky130_fd_sc_hd__dfxtp_1 _17612_ (.D(_02159_),
     .Q(\u_uart_core.u_txfifo.mem[0][0] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18565_ (.D(_02160_),
+ sky130_fd_sc_hd__dfxtp_1 _17613_ (.D(_02160_),
     .Q(\u_uart_core.u_txfifo.mem[0][1] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18566_ (.D(_02161_),
+ sky130_fd_sc_hd__dfxtp_1 _17614_ (.D(_02161_),
     .Q(\u_uart_core.u_txfifo.mem[0][2] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18567_ (.D(_02162_),
+ sky130_fd_sc_hd__dfxtp_1 _17615_ (.D(_02162_),
     .Q(\u_uart_core.u_txfifo.mem[0][3] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18568_ (.D(_02163_),
+ sky130_fd_sc_hd__dfxtp_1 _17616_ (.D(_02163_),
     .Q(\u_uart_core.u_txfifo.mem[0][4] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18569_ (.D(_02164_),
+ sky130_fd_sc_hd__dfxtp_1 _17617_ (.D(_02164_),
     .Q(\u_uart_core.u_txfifo.mem[0][5] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18570_ (.D(_02165_),
+ sky130_fd_sc_hd__dfxtp_1 _17618_ (.D(_02165_),
     .Q(\u_uart_core.u_txfifo.mem[0][6] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18571_ (.D(_02166_),
+ sky130_fd_sc_hd__dfxtp_1 _17619_ (.D(_02166_),
     .Q(\u_uart_core.u_txfifo.mem[0][7] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18572_ (.D(_02167_),
+ sky130_fd_sc_hd__dfxtp_1 _17620_ (.D(_02167_),
     .Q(\u_uart_core.u_txfifo.mem[15][0] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18573_ (.D(_02168_),
+ sky130_fd_sc_hd__dfxtp_1 _17621_ (.D(_02168_),
     .Q(\u_uart_core.u_txfifo.mem[15][1] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18574_ (.D(_02169_),
+ sky130_fd_sc_hd__dfxtp_1 _17622_ (.D(_02169_),
     .Q(\u_uart_core.u_txfifo.mem[15][2] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18575_ (.D(_02170_),
+ sky130_fd_sc_hd__dfxtp_1 _17623_ (.D(_02170_),
     .Q(\u_uart_core.u_txfifo.mem[15][3] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18576_ (.D(_02171_),
+ sky130_fd_sc_hd__dfxtp_1 _17624_ (.D(_02171_),
     .Q(\u_uart_core.u_txfifo.mem[15][4] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18577_ (.D(_02172_),
+ sky130_fd_sc_hd__dfxtp_1 _17625_ (.D(_02172_),
     .Q(\u_uart_core.u_txfifo.mem[15][5] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18578_ (.D(_02173_),
+ sky130_fd_sc_hd__dfxtp_1 _17626_ (.D(_02173_),
     .Q(\u_uart_core.u_txfifo.mem[15][6] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18579_ (.D(_02174_),
+ sky130_fd_sc_hd__dfxtp_1 _17627_ (.D(_02174_),
     .Q(\u_uart_core.u_txfifo.mem[15][7] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18580_ (.D(_02175_),
+ sky130_fd_sc_hd__dfxtp_1 _17628_ (.D(_02175_),
     .Q(\u_uart_core.u_rxfifo.mem[15][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18581_ (.D(_02176_),
+ sky130_fd_sc_hd__dfxtp_1 _17629_ (.D(_02176_),
     .Q(\u_uart_core.u_rxfifo.mem[15][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18582_ (.D(_02177_),
+ sky130_fd_sc_hd__dfxtp_1 _17630_ (.D(_02177_),
     .Q(\u_uart_core.u_rxfifo.mem[15][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18583_ (.D(_02178_),
+ sky130_fd_sc_hd__dfxtp_1 _17631_ (.D(_02178_),
     .Q(\u_uart_core.u_rxfifo.mem[15][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18584_ (.D(_02179_),
+ sky130_fd_sc_hd__dfxtp_1 _17632_ (.D(_02179_),
     .Q(\u_uart_core.u_rxfifo.mem[15][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18585_ (.D(_02180_),
+ sky130_fd_sc_hd__dfxtp_1 _17633_ (.D(_02180_),
     .Q(\u_uart_core.u_rxfifo.mem[15][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18586_ (.D(_02181_),
+ sky130_fd_sc_hd__dfxtp_1 _17634_ (.D(_02181_),
     .Q(\u_uart_core.u_rxfifo.mem[15][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18587_ (.D(_02182_),
+ sky130_fd_sc_hd__dfxtp_1 _17635_ (.D(_02182_),
     .Q(\u_uart_core.u_rxfifo.mem[15][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18588_ (.D(_02183_),
+ sky130_fd_sc_hd__dfxtp_1 _17636_ (.D(_02183_),
     .Q(\u_uart_core.u_rxfifo.mem[6][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18589_ (.D(_02184_),
+ sky130_fd_sc_hd__dfxtp_1 _17637_ (.D(_02184_),
     .Q(\u_uart_core.u_rxfifo.mem[6][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18590_ (.D(_02185_),
+ sky130_fd_sc_hd__dfxtp_1 _17638_ (.D(_02185_),
     .Q(\u_uart_core.u_rxfifo.mem[6][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18591_ (.D(_02186_),
+ sky130_fd_sc_hd__dfxtp_1 _17639_ (.D(_02186_),
     .Q(\u_uart_core.u_rxfifo.mem[6][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18592_ (.D(_02187_),
+ sky130_fd_sc_hd__dfxtp_1 _17640_ (.D(_02187_),
     .Q(\u_uart_core.u_rxfifo.mem[6][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18593_ (.D(_02188_),
+ sky130_fd_sc_hd__dfxtp_1 _17641_ (.D(_02188_),
     .Q(\u_uart_core.u_rxfifo.mem[6][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18594_ (.D(_02189_),
+ sky130_fd_sc_hd__dfxtp_1 _17642_ (.D(_02189_),
     .Q(\u_uart_core.u_rxfifo.mem[6][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18595_ (.D(_02190_),
+ sky130_fd_sc_hd__dfxtp_1 _17643_ (.D(_02190_),
     .Q(\u_uart_core.u_rxfifo.mem[6][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18596_ (.D(_02191_),
+ sky130_fd_sc_hd__dfxtp_1 _17644_ (.D(_02191_),
     .Q(\u_uart_core.u_txfifo.mem[11][0] ),
-    .CLK(clknet_leaf_16_app_clk),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18597_ (.D(_02192_),
+ sky130_fd_sc_hd__dfxtp_1 _17645_ (.D(_02192_),
     .Q(\u_uart_core.u_txfifo.mem[11][1] ),
-    .CLK(clknet_leaf_16_app_clk),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18598_ (.D(_02193_),
+ sky130_fd_sc_hd__dfxtp_1 _17646_ (.D(_02193_),
     .Q(\u_uart_core.u_txfifo.mem[11][2] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18599_ (.D(_02194_),
+ sky130_fd_sc_hd__dfxtp_1 _17647_ (.D(_02194_),
     .Q(\u_uart_core.u_txfifo.mem[11][3] ),
-    .CLK(clknet_leaf_15_app_clk),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18600_ (.D(_02195_),
+ sky130_fd_sc_hd__dfxtp_1 _17648_ (.D(_02195_),
     .Q(\u_uart_core.u_txfifo.mem[11][4] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18601_ (.D(_02196_),
+ sky130_fd_sc_hd__dfxtp_1 _17649_ (.D(_02196_),
     .Q(\u_uart_core.u_txfifo.mem[11][5] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18602_ (.D(_02197_),
+ sky130_fd_sc_hd__dfxtp_1 _17650_ (.D(_02197_),
     .Q(\u_uart_core.u_txfifo.mem[11][6] ),
-    .CLK(clknet_leaf_7_app_clk),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18603_ (.D(_02198_),
+ sky130_fd_sc_hd__dfxtp_1 _17651_ (.D(_02198_),
     .Q(\u_uart_core.u_txfifo.mem[11][7] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18604_ (.D(_02199_),
+ sky130_fd_sc_hd__dfxtp_1 _17652_ (.D(_02199_),
     .Q(\u_uart_core.u_rxfifo.mem[9][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18605_ (.D(_02200_),
+ sky130_fd_sc_hd__dfxtp_1 _17653_ (.D(_02200_),
     .Q(\u_uart_core.u_rxfifo.mem[9][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18606_ (.D(_02201_),
+ sky130_fd_sc_hd__dfxtp_1 _17654_ (.D(_02201_),
     .Q(\u_uart_core.u_rxfifo.mem[9][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18607_ (.D(_02202_),
+ sky130_fd_sc_hd__dfxtp_1 _17655_ (.D(_02202_),
     .Q(\u_uart_core.u_rxfifo.mem[9][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18608_ (.D(_02203_),
+ sky130_fd_sc_hd__dfxtp_1 _17656_ (.D(_02203_),
     .Q(\u_uart_core.u_rxfifo.mem[9][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18609_ (.D(_02204_),
+ sky130_fd_sc_hd__dfxtp_1 _17657_ (.D(_02204_),
     .Q(\u_uart_core.u_rxfifo.mem[9][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18610_ (.D(_02205_),
+ sky130_fd_sc_hd__dfxtp_1 _17658_ (.D(_02205_),
     .Q(\u_uart_core.u_rxfifo.mem[9][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18611_ (.D(_02206_),
+ sky130_fd_sc_hd__dfxtp_1 _17659_ (.D(_02206_),
     .Q(\u_uart_core.u_rxfifo.mem[9][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18612_ (.D(_02207_),
+ sky130_fd_sc_hd__dfxtp_1 _17660_ (.D(_02207_),
     .Q(\u_uart_core.u_txfifo.mem[1][0] ),
-    .CLK(clknet_leaf_29_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18613_ (.D(_02208_),
+ sky130_fd_sc_hd__dfxtp_1 _17661_ (.D(_02208_),
     .Q(\u_uart_core.u_txfifo.mem[1][1] ),
-    .CLK(clknet_leaf_29_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18614_ (.D(_02209_),
+ sky130_fd_sc_hd__dfxtp_1 _17662_ (.D(_02209_),
     .Q(\u_uart_core.u_txfifo.mem[1][2] ),
-    .CLK(clknet_leaf_20_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18615_ (.D(_02210_),
+ sky130_fd_sc_hd__dfxtp_1 _17663_ (.D(_02210_),
     .Q(\u_uart_core.u_txfifo.mem[1][3] ),
-    .CLK(clknet_leaf_4_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18616_ (.D(_02211_),
+ sky130_fd_sc_hd__dfxtp_1 _17664_ (.D(_02211_),
     .Q(\u_uart_core.u_txfifo.mem[1][4] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18617_ (.D(_02212_),
+ sky130_fd_sc_hd__dfxtp_1 _17665_ (.D(_02212_),
     .Q(\u_uart_core.u_txfifo.mem[1][5] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18618_ (.D(_02213_),
+ sky130_fd_sc_hd__dfxtp_1 _17666_ (.D(_02213_),
     .Q(\u_uart_core.u_txfifo.mem[1][6] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18619_ (.D(_02214_),
+ sky130_fd_sc_hd__dfxtp_1 _17667_ (.D(_02214_),
     .Q(\u_uart_core.u_txfifo.mem[1][7] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18620_ (.D(_02215_),
+ sky130_fd_sc_hd__dfxtp_1 _17668_ (.D(_02215_),
     .Q(\u_uart_core.u_rxfifo.mem[3][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18621_ (.D(_02216_),
+ sky130_fd_sc_hd__dfxtp_1 _17669_ (.D(_02216_),
     .Q(\u_uart_core.u_rxfifo.mem[3][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18622_ (.D(_02217_),
+ sky130_fd_sc_hd__dfxtp_1 _17670_ (.D(_02217_),
     .Q(\u_uart_core.u_rxfifo.mem[3][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18623_ (.D(_02218_),
+ sky130_fd_sc_hd__dfxtp_1 _17671_ (.D(_02218_),
     .Q(\u_uart_core.u_rxfifo.mem[3][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18624_ (.D(_02219_),
+ sky130_fd_sc_hd__dfxtp_1 _17672_ (.D(_02219_),
     .Q(\u_uart_core.u_rxfifo.mem[3][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18625_ (.D(_02220_),
+ sky130_fd_sc_hd__dfxtp_1 _17673_ (.D(_02220_),
     .Q(\u_uart_core.u_rxfifo.mem[3][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18626_ (.D(_02221_),
+ sky130_fd_sc_hd__dfxtp_1 _17674_ (.D(_02221_),
     .Q(\u_uart_core.u_rxfifo.mem[3][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18627_ (.D(_02222_),
+ sky130_fd_sc_hd__dfxtp_1 _17675_ (.D(_02222_),
     .Q(\u_uart_core.u_rxfifo.mem[3][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18628_ (.D(_02223_),
+ sky130_fd_sc_hd__dfxtp_1 _17676_ (.D(_02223_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ),
-    .CLK(clknet_leaf_23_usb_clk),
+    .CLK(clknet_leaf_20_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18629_ (.D(_02224_),
+ sky130_fd_sc_hd__dfxtp_1 _17677_ (.D(_02224_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ),
     .CLK(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18630_ (.D(_02225_),
+ sky130_fd_sc_hd__dfxtp_1 _17678_ (.D(_02225_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ),
     .CLK(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18631_ (.D(_02226_),
+ sky130_fd_sc_hd__dfxtp_1 _17679_ (.D(_02226_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ),
     .CLK(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18632_ (.D(_02227_),
+ sky130_fd_sc_hd__dfxtp_1 _17680_ (.D(_02227_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ),
-    .CLK(clknet_leaf_22_usb_clk),
+    .CLK(clknet_leaf_24_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18633_ (.D(_02228_),
+ sky130_fd_sc_hd__dfxtp_1 _17681_ (.D(_02228_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ),
-    .CLK(clknet_leaf_23_usb_clk),
+    .CLK(clknet_leaf_24_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18634_ (.D(_02229_),
+ sky130_fd_sc_hd__dfxtp_1 _17682_ (.D(_02229_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ),
-    .CLK(clknet_leaf_21_usb_clk),
+    .CLK(clknet_leaf_24_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18635_ (.D(_02230_),
+ sky130_fd_sc_hd__dfxtp_1 _17683_ (.D(_02230_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ),
     .CLK(clknet_leaf_22_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18636_ (.D(_02231_),
+ sky130_fd_sc_hd__dfxtp_1 _17684_ (.D(_02231_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ),
-    .CLK(clknet_leaf_26_usb_clk),
+    .CLK(clknet_leaf_29_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18637_ (.D(_02232_),
+ sky130_fd_sc_hd__dfxtp_1 _17685_ (.D(_02232_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ),
-    .CLK(clknet_leaf_29_usb_clk),
+    .CLK(clknet_leaf_30_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18638_ (.D(_02233_),
+ sky130_fd_sc_hd__dfxtp_1 _17686_ (.D(_02233_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
-    .CLK(clknet_leaf_28_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18639_ (.D(_02234_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
-    .CLK(clknet_leaf_28_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18640_ (.D(_02235_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
     .CLK(clknet_leaf_29_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18641_ (.D(_02236_),
+ sky130_fd_sc_hd__dfxtp_1 _17687_ (.D(_02234_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
+    .CLK(clknet_leaf_29_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17688_ (.D(_02235_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
+    .CLK(clknet_leaf_28_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17689_ (.D(_02236_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
-    .CLK(clknet_leaf_32_usb_clk),
+    .CLK(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18642_ (.D(_02237_),
+ sky130_fd_sc_hd__dfxtp_1 _17690_ (.D(_02237_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
-    .CLK(clknet_leaf_30_usb_clk),
+    .CLK(clknet_leaf_28_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18643_ (.D(_02238_),
+ sky130_fd_sc_hd__dfxtp_1 _17691_ (.D(_02238_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
-    .CLK(clknet_leaf_30_usb_clk),
+    .CLK(clknet_leaf_28_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18644_ (.D(_02239_),
+ sky130_fd_sc_hd__dfxtp_1 _17692_ (.D(_02239_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ),
-    .CLK(clknet_leaf_32_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18645_ (.D(_02240_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
     .CLK(clknet_leaf_33_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18646_ (.D(_02241_),
+ sky130_fd_sc_hd__dfxtp_1 _17693_ (.D(_02240_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
+    .CLK(clknet_leaf_31_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17694_ (.D(_02241_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
+    .CLK(clknet_leaf_33_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17695_ (.D(_02242_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
+    .CLK(clknet_leaf_33_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17696_ (.D(_02243_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
     .CLK(clknet_leaf_34_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18647_ (.D(_02242_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
-    .CLK(clknet_leaf_31_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18648_ (.D(_02243_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
-    .CLK(clknet_leaf_31_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18649_ (.D(_02244_),
+ sky130_fd_sc_hd__dfxtp_1 _17697_ (.D(_02244_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ),
     .CLK(clknet_leaf_34_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18650_ (.D(_02245_),
+ sky130_fd_sc_hd__dfxtp_1 _17698_ (.D(_02245_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
     .CLK(clknet_leaf_34_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18651_ (.D(_02246_),
+ sky130_fd_sc_hd__dfxtp_1 _17699_ (.D(_02246_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
     .CLK(clknet_leaf_34_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18652_ (.D(_02247_),
+ sky130_fd_sc_hd__dfxtp_1 _17700_ (.D(_02247_),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
-    .CLK(clknet_leaf_34_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18653_ (.D(_02248_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
-    .CLK(clknet_leaf_30_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18654_ (.D(_02249_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
-    .CLK(clknet_leaf_31_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18655_ (.D(_02250_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
-    .CLK(clknet_leaf_31_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18656_ (.D(_02251_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
-    .CLK(clknet_leaf_31_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18657_ (.D(_02252_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
-    .CLK(clknet_leaf_30_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18658_ (.D(_02253_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
-    .CLK(clknet_leaf_30_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18659_ (.D(_02254_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
     .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18660_ (.D(_02255_),
-    .Q(\u_uart_core.u_txfifo.mem[2][0] ),
-    .CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17701_ (.D(_02248_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18661_ (.D(_02256_),
-    .Q(\u_uart_core.u_txfifo.mem[2][1] ),
-    .CLK(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17702_ (.D(_02249_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18662_ (.D(_02257_),
-    .Q(\u_uart_core.u_txfifo.mem[2][2] ),
-    .CLK(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17703_ (.D(_02250_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18663_ (.D(_02258_),
-    .Q(\u_uart_core.u_txfifo.mem[2][3] ),
-    .CLK(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17704_ (.D(_02251_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
+    .CLK(clknet_leaf_33_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18664_ (.D(_02259_),
-    .Q(\u_uart_core.u_txfifo.mem[2][4] ),
-    .CLK(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17705_ (.D(_02252_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
+    .CLK(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18665_ (.D(_02260_),
-    .Q(\u_uart_core.u_txfifo.mem[2][5] ),
-    .CLK(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17706_ (.D(_02253_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
+    .CLK(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18666_ (.D(_02261_),
-    .Q(\u_uart_core.u_txfifo.mem[2][6] ),
-    .CLK(clknet_leaf_1_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17707_ (.D(_02254_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
+    .CLK(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18667_ (.D(_02262_),
-    .Q(\u_uart_core.u_txfifo.mem[2][7] ),
-    .CLK(clknet_leaf_1_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18668_ (.D(_02263_),
+ sky130_fd_sc_hd__dfxtp_1 _17708_ (.D(_02255_),
     .Q(\u_uart_core.u_rxfifo.mem[5][0] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18669_ (.D(_02264_),
+ sky130_fd_sc_hd__dfxtp_1 _17709_ (.D(_02256_),
     .Q(\u_uart_core.u_rxfifo.mem[5][1] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18670_ (.D(_02265_),
+ sky130_fd_sc_hd__dfxtp_1 _17710_ (.D(_02257_),
     .Q(\u_uart_core.u_rxfifo.mem[5][2] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18671_ (.D(_02266_),
+ sky130_fd_sc_hd__dfxtp_1 _17711_ (.D(_02258_),
     .Q(\u_uart_core.u_rxfifo.mem[5][3] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18672_ (.D(_02267_),
+ sky130_fd_sc_hd__dfxtp_1 _17712_ (.D(_02259_),
     .Q(\u_uart_core.u_rxfifo.mem[5][4] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18673_ (.D(_02268_),
+ sky130_fd_sc_hd__dfxtp_1 _17713_ (.D(_02260_),
     .Q(\u_uart_core.u_rxfifo.mem[5][5] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18674_ (.D(_02269_),
+ sky130_fd_sc_hd__dfxtp_1 _17714_ (.D(_02261_),
     .Q(\u_uart_core.u_rxfifo.mem[5][6] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18675_ (.D(_02270_),
+ sky130_fd_sc_hd__dfxtp_1 _17715_ (.D(_02262_),
     .Q(\u_uart_core.u_rxfifo.mem[5][7] ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18676_ (.D(_02271_),
-    .Q(\u_uart_core.u_txfifo.mem[10][0] ),
-    .CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17716_ (.D(_02263_),
+    .Q(\u_uart_core.u_txfifo.mem[2][0] ),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18677_ (.D(_02272_),
-    .Q(\u_uart_core.u_txfifo.mem[10][1] ),
-    .CLK(clknet_leaf_19_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17717_ (.D(_02264_),
+    .Q(\u_uart_core.u_txfifo.mem[2][1] ),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18678_ (.D(_02273_),
-    .Q(\u_uart_core.u_txfifo.mem[10][2] ),
-    .CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17718_ (.D(_02265_),
+    .Q(\u_uart_core.u_txfifo.mem[2][2] ),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18679_ (.D(_02274_),
-    .Q(\u_uart_core.u_txfifo.mem[10][3] ),
-    .CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _17719_ (.D(_02266_),
+    .Q(\u_uart_core.u_txfifo.mem[2][3] ),
+    .CLK(clknet_leaf_6_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18680_ (.D(_02275_),
-    .Q(\u_uart_core.u_txfifo.mem[10][4] ),
+ sky130_fd_sc_hd__dfxtp_1 _17720_ (.D(_02267_),
+    .Q(\u_uart_core.u_txfifo.mem[2][4] ),
     .CLK(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18681_ (.D(_02276_),
+ sky130_fd_sc_hd__dfxtp_1 _17721_ (.D(_02268_),
+    .Q(\u_uart_core.u_txfifo.mem[2][5] ),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17722_ (.D(_02269_),
+    .Q(\u_uart_core.u_txfifo.mem[2][6] ),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17723_ (.D(_02270_),
+    .Q(\u_uart_core.u_txfifo.mem[2][7] ),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17724_ (.D(_02271_),
+    .Q(\u_uart_core.u_txfifo.mem[10][0] ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17725_ (.D(_02272_),
+    .Q(\u_uart_core.u_txfifo.mem[10][1] ),
+    .CLK(clknet_leaf_2_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17726_ (.D(_02273_),
+    .Q(\u_uart_core.u_txfifo.mem[10][2] ),
+    .CLK(clknet_leaf_2_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17727_ (.D(_02274_),
+    .Q(\u_uart_core.u_txfifo.mem[10][3] ),
+    .CLK(clknet_leaf_2_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17728_ (.D(_02275_),
+    .Q(\u_uart_core.u_txfifo.mem[10][4] ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17729_ (.D(_02276_),
     .Q(\u_uart_core.u_txfifo.mem[10][5] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18682_ (.D(_02277_),
+ sky130_fd_sc_hd__dfxtp_1 _17730_ (.D(_02277_),
     .Q(\u_uart_core.u_txfifo.mem[10][6] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18683_ (.D(_02278_),
+ sky130_fd_sc_hd__dfxtp_1 _17731_ (.D(_02278_),
     .Q(\u_uart_core.u_txfifo.mem[10][7] ),
-    .CLK(clknet_leaf_6_app_clk),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18684_ (.D(_02279_),
-    .Q(\u_uart_core.u_rxfifo.mem[2][0] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18685_ (.D(_02280_),
-    .Q(\u_uart_core.u_rxfifo.mem[2][1] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18686_ (.D(_02281_),
-    .Q(\u_uart_core.u_rxfifo.mem[2][2] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18687_ (.D(_02282_),
-    .Q(\u_uart_core.u_rxfifo.mem[2][3] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18688_ (.D(_02283_),
-    .Q(\u_uart_core.u_rxfifo.mem[2][4] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18689_ (.D(_02284_),
-    .Q(\u_uart_core.u_rxfifo.mem[2][5] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18690_ (.D(_02285_),
-    .Q(\u_uart_core.u_rxfifo.mem[2][6] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18691_ (.D(_02286_),
-    .Q(\u_uart_core.u_rxfifo.mem[2][7] ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18692_ (.D(_02287_),
+ sky130_fd_sc_hd__dfxtp_1 _17732_ (.D(_02279_),
     .Q(\u_uart_core.u_txfifo.mem[14][0] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18693_ (.D(_02288_),
+ sky130_fd_sc_hd__dfxtp_1 _17733_ (.D(_02280_),
     .Q(\u_uart_core.u_txfifo.mem[14][1] ),
-    .CLK(clknet_leaf_29_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18694_ (.D(_02289_),
+ sky130_fd_sc_hd__dfxtp_1 _17734_ (.D(_02281_),
     .Q(\u_uart_core.u_txfifo.mem[14][2] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18695_ (.D(_02290_),
+ sky130_fd_sc_hd__dfxtp_1 _17735_ (.D(_02282_),
     .Q(\u_uart_core.u_txfifo.mem[14][3] ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18696_ (.D(_02291_),
+ sky130_fd_sc_hd__dfxtp_1 _17736_ (.D(_02283_),
     .Q(\u_uart_core.u_txfifo.mem[14][4] ),
     .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18697_ (.D(_02292_),
+ sky130_fd_sc_hd__dfxtp_1 _17737_ (.D(_02284_),
     .Q(\u_uart_core.u_txfifo.mem[14][5] ),
     .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18698_ (.D(_02293_),
+ sky130_fd_sc_hd__dfxtp_1 _17738_ (.D(_02285_),
     .Q(\u_uart_core.u_txfifo.mem[14][6] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_1 _18699_ (.D(_02294_),
+ sky130_fd_sc_hd__dfxtp_1 _17739_ (.D(_02286_),
     .Q(\u_uart_core.u_txfifo.mem[14][7] ),
-    .CLK(clknet_leaf_1_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18700_ (.D(_00013_),
+ sky130_fd_sc_hd__dfxtp_1 _17740_ (.D(_02287_),
+    .Q(\u_uart_core.u_rxfifo.mem[2][0] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17741_ (.D(_02288_),
+    .Q(\u_uart_core.u_rxfifo.mem[2][1] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17742_ (.D(_02289_),
+    .Q(\u_uart_core.u_rxfifo.mem[2][2] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17743_ (.D(_02290_),
+    .Q(\u_uart_core.u_rxfifo.mem[2][3] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17744_ (.D(_02291_),
+    .Q(\u_uart_core.u_rxfifo.mem[2][4] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17745_ (.D(_02292_),
+    .Q(\u_uart_core.u_rxfifo.mem[2][5] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17746_ (.D(_02293_),
+    .Q(\u_uart_core.u_rxfifo.mem[2][6] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_1 _17747_ (.D(_02294_),
+    .Q(\u_uart_core.u_rxfifo.mem[2][7] ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _17748_ (.D(_00013_),
     .Q(\u_uart_core.u_txfsm.txstate[0] ),
     .SET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259049,7 +255334,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18701_ (.D(_00014_),
+ sky130_fd_sc_hd__dfrtp_1 _17749_ (.D(_00014_),
     .Q(\u_uart_core.u_txfsm.txstate[1] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259057,7 +255342,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18702_ (.D(_00015_),
+ sky130_fd_sc_hd__dfrtp_1 _17750_ (.D(_00015_),
     .Q(\u_uart_core.u_txfsm.txstate[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259065,7 +255350,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18703_ (.D(_00016_),
+ sky130_fd_sc_hd__dfrtp_2 _17751_ (.D(_00016_),
     .Q(\u_uart_core.u_txfsm.txstate[3] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259073,7 +255358,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18704_ (.D(_00017_),
+ sky130_fd_sc_hd__dfrtp_1 _17752_ (.D(_00017_),
     .Q(\u_uart_core.u_txfsm.txstate[4] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259081,199 +255366,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18705_ (.D(_00018_),
+ sky130_fd_sc_hd__dfstp_4 _17753_ (.D(_00018_),
     .Q(\u_usb_host.u_phy.state_q[0] ),
-    .SET_B(net46),
-    .CLK(clknet_leaf_13_usb_clk),
+    .SET_B(net95),
+    .CLK(clknet_leaf_9_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18706_ (.D(_00022_),
+ sky130_fd_sc_hd__dfrtp_4 _17754_ (.D(_00022_),
     .Q(\u_usb_host.u_phy.state_q[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_13_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_7_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18707_ (.D(_00023_),
+ sky130_fd_sc_hd__dfrtp_4 _17755_ (.D(_00023_),
     .Q(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_13_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_8_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18708_ (.D(_00024_),
+ sky130_fd_sc_hd__dfrtp_1 _17756_ (.D(_00024_),
     .Q(\u_usb_host.u_phy.state_q[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_13_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_8_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18709_ (.D(_00025_),
+ sky130_fd_sc_hd__dfrtp_1 _17757_ (.D(_00025_),
     .Q(\u_usb_host.u_phy.state_q[5] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_19_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_11_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18710_ (.D(_00026_),
+ sky130_fd_sc_hd__dfrtp_1 _17758_ (.D(_00026_),
     .Q(\u_usb_host.u_phy.state_q[6] ),
     .RESET_B(net46),
-    .CLK(clknet_leaf_188_usb_clk),
+    .CLK(clknet_leaf_9_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18711_ (.D(_00027_),
+ sky130_fd_sc_hd__dfrtp_1 _17759_ (.D(_00027_),
     .Q(\u_usb_host.u_phy.state_q[7] ),
     .RESET_B(net46),
-    .CLK(clknet_leaf_20_usb_clk),
+    .CLK(clknet_leaf_9_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18712_ (.D(_00028_),
+ sky130_fd_sc_hd__dfrtp_1 _17760_ (.D(_00028_),
     .Q(\u_usb_host.u_phy.state_q[8] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_19_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_10_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18713_ (.D(_00029_),
+ sky130_fd_sc_hd__dfrtp_1 _17761_ (.D(_00029_),
     .Q(\u_usb_host.u_phy.state_q[9] ),
     .RESET_B(net46),
-    .CLK(clknet_leaf_188_usb_clk),
+    .CLK(clknet_leaf_9_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18714_ (.D(_00019_),
+ sky130_fd_sc_hd__dfrtp_1 _17762_ (.D(_00019_),
     .Q(\u_usb_host.u_phy.state_q[10] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_13_usb_clk),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_9_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18715_ (.D(_00020_),
+ sky130_fd_sc_hd__dfrtp_1 _17763_ (.D(_00020_),
     .Q(\u_usb_host.u_phy.state_q[11] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_13_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_8_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18716_ (.D(_00021_),
+ sky130_fd_sc_hd__dfrtp_2 _17764_ (.D(_00021_),
     .Q(\u_usb_host.u_phy.state_q[13] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_13_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_7_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18717_ (.D(\u_uart_core.rx_fifo_full_err ),
+ sky130_fd_sc_hd__dfstp_1 _17765_ (.D(\u_uart_core.rx_fifo_full_err ),
     .Q(\u_uart_core.u_rxfifo_err.in_data_s ),
-    .SET_B(net87),
+    .SET_B(net94),
     .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18718_ (.D(\u_uart_core.u_rxfifo_err.in_data_s ),
+ sky130_fd_sc_hd__dfstp_1 _17766_ (.D(\u_uart_core.u_rxfifo_err.in_data_s ),
     .Q(\u_uart_core.u_rxfifo_err.in_data_2s ),
-    .SET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
+    .SET_B(net94),
+    .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18719_ (.D(\u_uart_core.u_rxfifo_err.in_data_2s ),
+ sky130_fd_sc_hd__dfstp_1 _17767_ (.D(\u_uart_core.u_rxfifo_err.in_data_2s ),
     .Q(\u_uart_core.rx_fifo_full_err_o ),
-    .SET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
+    .SET_B(net94),
+    .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18720_ (.D(\u_uart_core.par_error ),
+ sky130_fd_sc_hd__dfstp_1 _17768_ (.D(\u_uart_core.par_error ),
     .Q(\u_uart_core.u_par_err.in_data_s ),
-    .SET_B(net87),
+    .SET_B(net94),
     .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18721_ (.D(\u_uart_core.u_par_err.in_data_s ),
+ sky130_fd_sc_hd__dfstp_1 _17769_ (.D(\u_uart_core.u_par_err.in_data_s ),
     .Q(\u_uart_core.u_par_err.in_data_2s ),
-    .SET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18722_ (.D(\u_uart_core.u_par_err.in_data_2s ),
-    .Q(\u_uart_core.par_error_o ),
-    .SET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18723_ (.D(\u_uart_core.frm_error ),
-    .Q(\u_uart_core.u_frm_err.in_data_s ),
-    .SET_B(net87),
+    .SET_B(net94),
     .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18724_ (.D(\u_uart_core.u_frm_err.in_data_s ),
+ sky130_fd_sc_hd__dfstp_1 _17770_ (.D(\u_uart_core.u_par_err.in_data_2s ),
+    .Q(\u_uart_core.par_error_o ),
+    .SET_B(net94),
+    .CLK(clknet_leaf_29_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _17771_ (.D(\u_uart_core.frm_error ),
+    .Q(\u_uart_core.u_frm_err.in_data_s ),
+    .SET_B(net94),
+    .CLK(clknet_leaf_31_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _17772_ (.D(\u_uart_core.u_frm_err.in_data_s ),
     .Q(\u_uart_core.u_frm_err.in_data_2s ),
-    .SET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
+    .SET_B(net94),
+    .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18725_ (.D(\u_uart_core.u_frm_err.in_data_2s ),
+ sky130_fd_sc_hd__dfstp_1 _17773_ (.D(\u_uart_core.u_frm_err.in_data_2s ),
     .Q(\u_uart_core.frm_error_o ),
-    .SET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
+    .SET_B(net94),
+    .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18726_ (.D(\u_uart_core.rxd ),
+ sky130_fd_sc_hd__dfstp_1 _17774_ (.D(\u_uart_core.rxd ),
     .Q(\u_uart_core.u_si_sync.in_data_s ),
-    .SET_B(net86),
+    .SET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18727_ (.D(\u_uart_core.u_si_sync.in_data_s ),
+ sky130_fd_sc_hd__dfstp_1 _17775_ (.D(\u_uart_core.u_si_sync.in_data_s ),
     .Q(\u_uart_core.u_si_sync.in_data_2s ),
-    .SET_B(net86),
+    .SET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18728_ (.D(\u_uart_core.u_si_sync.in_data_2s ),
+ sky130_fd_sc_hd__dfstp_4 _17776_ (.D(\u_uart_core.u_si_sync.in_data_2s ),
     .Q(\u_uart_core.si_ss ),
-    .SET_B(net86),
+    .SET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18729_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17777_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[0] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[0] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259281,7 +255566,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18730_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17778_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[1] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[1] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259289,7 +255574,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18731_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17779_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[2] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259297,7 +255582,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18732_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[3] ),
+ sky130_fd_sc_hd__dfrtp_1 _17780_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[3] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[3] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259305,7 +255590,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18733_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
+ sky130_fd_sc_hd__dfrtp_1 _17781_ (.D(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[4] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259313,7 +255598,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18734_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17782_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[0] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259321,7 +255606,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18735_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17783_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[1] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_1[1] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259329,7 +255614,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18736_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17784_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[2] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259337,7 +255622,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18737_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[3] ),
+ sky130_fd_sc_hd__dfrtp_1 _17785_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[3] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259345,7 +255630,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18738_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[4] ),
+ sky130_fd_sc_hd__dfrtp_1 _17786_ (.D(\u_uart_core.u_txfifo.sync_wr_ptr_0[4] ),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259353,183 +255638,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18739_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17787_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[0] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[0] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_33_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18740_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17788_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[1] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[1] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_33_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18741_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17789_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[2] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[2] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_33_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18742_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[3] ),
+ sky130_fd_sc_hd__dfrtp_1 _17790_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[3] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[3] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_33_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18743_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
+ sky130_fd_sc_hd__dfrtp_1 _17791_ (.D(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[4] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18744_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17792_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[0] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_1[0] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_32_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18745_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17793_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[1] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_32_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18746_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17794_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[2] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_1[2] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_32_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18747_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[3] ),
+ sky130_fd_sc_hd__dfrtp_2 _17795_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[3] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_1[3] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_32_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18748_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[4] ),
+ sky130_fd_sc_hd__dfrtp_1 _17796_ (.D(\u_uart_core.u_txfifo.sync_rd_ptr_0[4] ),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18749_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17797_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[0] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[0] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_31_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18750_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17798_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[1] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[1] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_31_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18751_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17799_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[2] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[2] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18752_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[3] ),
+ sky130_fd_sc_hd__dfrtp_1 _17800_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[3] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[3] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18753_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+ sky130_fd_sc_hd__dfrtp_1 _17801_ (.D(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[4] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18754_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17802_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[0] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_1[0] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18755_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17803_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[1] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_1[1] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_31_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18756_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17804_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[2] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_1[2] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18757_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[3] ),
+ sky130_fd_sc_hd__dfrtp_1 _17805_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[3] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_1[3] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18758_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[4] ),
+ sky130_fd_sc_hd__dfrtp_2 _17806_ (.D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[4] ),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18759_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17807_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[0] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18760_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17808_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[1] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18761_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17809_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259537,39 +255822,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18762_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ),
+ sky130_fd_sc_hd__dfrtp_1 _17810_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[3] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18763_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
+ sky130_fd_sc_hd__dfrtp_1 _17811_ (.D(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[4] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18764_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17812_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[0] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_1[0] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18765_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17813_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[1] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18766_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17814_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[2] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_1[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259577,55 +255862,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18767_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[3] ),
+ sky130_fd_sc_hd__dfrtp_1 _17815_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[3] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_1[3] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18768_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[4] ),
+ sky130_fd_sc_hd__dfrtp_1 _17816_ (.D(\u_uart_core.u_rxfifo.sync_rd_ptr_0[4] ),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
+    .RESET_B(\u_uart_core.line_reset_n ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17817_ (.D(_00807_),
+    .Q(\u_uart_core.u_rxfsm.offset[0] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18769_ (.D(_00807_),
-    .Q(\u_uart_core.u_rxfsm.offset[0] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18770_ (.D(_00808_),
+ sky130_fd_sc_hd__dfrtp_1 _17818_ (.D(_00808_),
     .Q(\u_uart_core.u_rxfsm.offset[1] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18771_ (.D(_00809_),
+ sky130_fd_sc_hd__dfrtp_1 _17819_ (.D(_00809_),
     .Q(\u_uart_core.u_rxfsm.offset[2] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18772_ (.D(_00810_),
+ sky130_fd_sc_hd__dfrtp_1 _17820_ (.D(_00810_),
     .Q(\u_uart_core.u_rxfsm.offset[3] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18773_ (.D(_00811_),
+ sky130_fd_sc_hd__dfrtp_1 _17821_ (.D(_00811_),
     .Q(\u_uart_core.u_txfsm.divcnt[0] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259633,7 +255918,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18774_ (.D(_00812_),
+ sky130_fd_sc_hd__dfrtp_1 _17822_ (.D(_00812_),
     .Q(\u_uart_core.u_txfsm.divcnt[1] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259641,7 +255926,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18775_ (.D(_00813_),
+ sky130_fd_sc_hd__dfrtp_1 _17823_ (.D(_00813_),
     .Q(\u_uart_core.u_txfsm.divcnt[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259649,7 +255934,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18776_ (.D(_00814_),
+ sky130_fd_sc_hd__dfrtp_1 _17824_ (.D(_00814_),
     .Q(\u_uart_core.u_txfsm.divcnt[3] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259657,7 +255942,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18777_ (.D(_08556_),
+ sky130_fd_sc_hd__dfrtp_1 _17825_ (.D(_08080_),
     .Q(\u_uart_core.u_line_rst.in_data_s ),
     .RESET_B(net45),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259665,7 +255950,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18778_ (.D(\u_uart_core.u_line_rst.in_data_s ),
+ sky130_fd_sc_hd__dfrtp_4 _17826_ (.D(\u_uart_core.u_line_rst.in_data_s ),
     .Q(\u_uart_core.line_reset_n ),
     .RESET_B(net45),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -259673,1143 +255958,1143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18779_ (.D(_08557_),
+ sky130_fd_sc_hd__dfrtp_1 _17827_ (.D(_08081_),
     .Q(\u_uart_core.u_app_rst.in_data_s ),
-    .RESET_B(net134),
+    .RESET_B(net45),
     .CLK(clknet_leaf_33_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18780_ (.D(\u_uart_core.u_app_rst.in_data_s ),
+ sky130_fd_sc_hd__dfrtp_4 _17828_ (.D(\u_uart_core.u_app_rst.in_data_s ),
     .Q(\u_uart_core.app_reset_n ),
-    .RESET_B(net134),
+    .RESET_B(net45),
     .CLK(clknet_leaf_33_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18781_ (.D(_00103_),
+ sky130_fd_sc_hd__dfrtp_1 _17829_ (.D(_00103_),
     .Q(\u_uart_core.line_clk_16x_in ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18782_ (.D(_00091_),
+ sky130_fd_sc_hd__dfrtp_1 _17830_ (.D(_00091_),
     .Q(\u_uart_core.reg_ack ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_29_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18783_ (.D(_00000_),
+ sky130_fd_sc_hd__dfstp_1 _17831_ (.D(_00000_),
     .Q(\u_i2cm.u_byte_ctrl.c_state[0] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18784_ (.D(_00001_),
-    .Q(\u_i2cm.u_byte_ctrl.c_state[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18785_ (.D(_00002_),
-    .Q(\u_i2cm.u_byte_ctrl.c_state[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18786_ (.D(_00003_),
-    .Q(\u_i2cm.u_byte_ctrl.c_state[3] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18787_ (.D(_00004_),
-    .Q(\u_i2cm.u_byte_ctrl.c_state[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18788_ (.D(_00005_),
-    .Q(\u_i2cm.u_byte_ctrl.c_state[5] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18789_ (.D(_00010_),
-    .Q(\u_uart_core.par_error ),
-    .RESET_B(\u_uart_core.line_reset_n ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18790_ (.D(_00011_),
-    .Q(\u_uart_core.frm_error ),
-    .RESET_B(\u_uart_core.line_reset_n ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18791_ (.D(_00012_),
-    .Q(\u_uart_core.rx_fifo_full_err ),
-    .RESET_B(\u_uart_core.line_reset_n ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18792_ (.D(_00041_),
-    .Q(\u_i2cm.done ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18793_ (.D(_00042_),
-    .Q(\u_i2cm.u_byte_ctrl.core_txd ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_24_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18794_ (.D(_00044_),
-    .Q(\u_i2cm.u_byte_ctrl.shift ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18795_ (.D(_00043_),
-    .Q(\u_i2cm.u_byte_ctrl.ld ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18796_ (.D(_00088_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_24_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18797_ (.D(_00036_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18798_ (.D(net210),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18799_ (.D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[0] ),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18800_ (.D(net192),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18801_ (.D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[0] ),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18802_ (.D(_00072_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18803_ (.D(_00077_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ),
-    .RESET_B(net137),
+    .SET_B(net5),
     .CLK(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18804_ (.D(_00078_),
+ sky130_fd_sc_hd__dfrtp_1 _17832_ (.D(_00001_),
+    .Q(\u_i2cm.u_byte_ctrl.c_state[1] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _17833_ (.D(_00002_),
+    .Q(\u_i2cm.u_byte_ctrl.c_state[2] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17834_ (.D(_00003_),
+    .Q(\u_i2cm.u_byte_ctrl.c_state[3] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17835_ (.D(_00004_),
+    .Q(\u_i2cm.u_byte_ctrl.c_state[4] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17836_ (.D(_00005_),
+    .Q(\u_i2cm.u_byte_ctrl.c_state[5] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17837_ (.D(_00010_),
+    .Q(\u_uart_core.par_error ),
+    .RESET_B(net86),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17838_ (.D(_00011_),
+    .Q(\u_uart_core.frm_error ),
+    .RESET_B(net86),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17839_ (.D(_00012_),
+    .Q(\u_uart_core.rx_fifo_full_err ),
+    .RESET_B(net86),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17840_ (.D(_00041_),
+    .Q(\u_i2cm.done ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17841_ (.D(_00042_),
+    .Q(\u_i2cm.u_byte_ctrl.core_txd ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17842_ (.D(_00044_),
+    .Q(\u_i2cm.u_byte_ctrl.shift ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17843_ (.D(_00043_),
+    .Q(\u_i2cm.u_byte_ctrl.ld ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17844_ (.D(_00088_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _17845_ (.D(_00036_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17846_ (.D(\u_i2cm.sda_pad_i ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[0] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17847_ (.D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[0] ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[1] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17848_ (.D(\u_i2cm.scl_pad_i ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[0] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17849_ (.D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[0] ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[1] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17850_ (.D(_00072_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17851_ (.D(_00077_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17852_ (.D(_00078_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18805_ (.D(_00079_),
+ sky130_fd_sc_hd__dfrtp_1 _17853_ (.D(_00079_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18806_ (.D(_00080_),
+ sky130_fd_sc_hd__dfrtp_1 _17854_ (.D(_00080_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18807_ (.D(_00081_),
+ sky130_fd_sc_hd__dfrtp_1 _17855_ (.D(_00081_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18808_ (.D(_00082_),
+ sky130_fd_sc_hd__dfrtp_1 _17856_ (.D(_00082_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
-    .RESET_B(net137),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17857_ (.D(_00083_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17858_ (.D(_00084_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17859_ (.D(_00085_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17860_ (.D(_00073_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
+    .RESET_B(net5),
     .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18809_ (.D(_00083_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18810_ (.D(_00084_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18811_ (.D(_00085_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18812_ (.D(_00073_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18813_ (.D(_00074_),
+ sky130_fd_sc_hd__dfrtp_1 _17861_ (.D(_00074_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[11] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18814_ (.D(_00075_),
+ sky130_fd_sc_hd__dfrtp_1 _17862_ (.D(_00075_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18815_ (.D(_00076_),
+ sky130_fd_sc_hd__dfrtp_1 _17863_ (.D(_00076_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
-    .RESET_B(net137),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _17864_ (.D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_27_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _17865_ (.D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_27_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _17866_ (.D(_00087_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _17867_ (.D(_00086_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17868_ (.D(_00090_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sto_condition ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17869_ (.D(_00089_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sta_condition ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17870_ (.D(_00054_),
+    .Q(\u_i2cm.i2c_busy ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_27_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17871_ (.D(_00053_),
+    .Q(\u_i2cm.i2c_al ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17872_ (.D(_00055_),
+    .Q(\u_i2cm.u_byte_ctrl.core_ack ),
+    .RESET_B(net5),
     .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18816_ (.D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18817_ (.D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18818_ (.D(_00087_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_24_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18819_ (.D(_00086_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18820_ (.D(_00090_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sto_condition ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18821_ (.D(_00089_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sta_condition ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18822_ (.D(_00054_),
-    .Q(\u_i2cm.i2c_busy ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_24_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18823_ (.D(_00053_),
-    .Q(\u_i2cm.i2c_al ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18824_ (.D(_00055_),
-    .Q(\u_i2cm.u_byte_ctrl.core_ack ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_24_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18825_ (.D(_00039_),
+ sky130_fd_sc_hd__dfrtp_1 _17873_ (.D(_00039_),
     .Q(\u_i2cm.irq_flag ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18826_ (.D(_00035_),
+ sky130_fd_sc_hd__dfrtp_1 _17874_ (.D(_00035_),
     .Q(\u_i2cm.tip ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18827_ (.D(\u_i2cm.irxack ),
+ sky130_fd_sc_hd__dfrtp_1 _17875_ (.D(\u_i2cm.irxack ),
     .Q(\u_i2cm.rxack ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18828_ (.D(_00038_),
+ sky130_fd_sc_hd__dfrtp_1 _17876_ (.D(_00038_),
     .Q(\u_i2cm.al ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18829_ (.D(_00006_),
+ sky130_fd_sc_hd__dfrtp_1 _17877_ (.D(_00006_),
     .Q(\u_i2cm.u_byte_ctrl.core_cmd[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18830_ (.D(_00007_),
+ sky130_fd_sc_hd__dfrtp_1 _17878_ (.D(_00007_),
     .Q(\u_i2cm.u_byte_ctrl.core_cmd[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18831_ (.D(_00008_),
+ sky130_fd_sc_hd__dfrtp_1 _17879_ (.D(_00008_),
     .Q(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18832_ (.D(_00009_),
+ sky130_fd_sc_hd__dfrtp_1 _17880_ (.D(_00009_),
     .Q(\u_i2cm.u_byte_ctrl.core_cmd[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_28_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18833_ (.D(_00169_),
+ sky130_fd_sc_hd__dfrtp_4 _17881_ (.D(_00169_),
     .Q(\u_usb_host.u_core.u_sie.utmi_txready_i ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_15_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18834_ (.D(_00168_),
+ sky130_fd_sc_hd__dfrtp_1 _17882_ (.D(_00168_),
     .Q(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_14_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_7_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18835_ (.D(_00166_),
+ sky130_fd_sc_hd__dfrtp_1 _17883_ (.D(_00166_),
     .Q(\u_usb_host.u_phy.adjust_delayed_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_188_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18836_ (.D(\u_usb_host.u_phy.in_j_w ),
-    .Q(\u_usb_host.u_phy.rxd_last_q ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_5_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18837_ (.D(_00167_),
-    .Q(\u_usb_host.u_core.utmi_rxerror_i ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_15_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18838_ (.D(\u_usb_host.u_phy.rx_dp_ms ),
-    .Q(\u_usb_host.u_phy.rx_dp0_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18839_ (.D(\u_usb_host.u_phy.rx_dn_ms ),
-    .Q(\u_usb_host.u_phy.rx_dn0_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18840_ (.D(\u_usb_host.u_phy.rx_dp0_q ),
-    .Q(\u_usb_host.u_phy.rx_dp1_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18841_ (.D(\u_usb_host.u_phy.rx_dn0_q ),
-    .Q(\u_usb_host.u_phy.rx_dn1_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_3_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18842_ (.D(\u_usb_host.u_phy.rxd_ms ),
-    .Q(\u_usb_host.u_phy.rxd0_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_3_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18843_ (.D(\u_usb_host.u_phy.rxd0_q ),
-    .Q(\u_usb_host.u_phy.rxd1_q ),
-    .RESET_B(net46),
+    .RESET_B(net96),
     .CLK(clknet_leaf_2_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18844_ (.D(net145),
-    .Q(\u_usb_host.u_phy.rx_dp_ms ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_0_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _17884_ (.D(\u_usb_host.u_phy.in_j_w ),
+    .Q(\u_usb_host.u_phy.rxd_last_q ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_8_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18845_ (.D(net139),
-    .Q(\u_usb_host.u_phy.rx_dn_ms ),
-    .RESET_B(net46),
-    .CLK(clknet_opt_2_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18846_ (.D(\u_usb_host.u_phy.in_rx_w ),
-    .Q(\u_usb_host.u_phy.rxd_ms ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18847_ (.D(_00126_),
-    .Q(\u_usb_host.u_core.err_cond_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_42_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18848_ (.D(\u_usb_host.u_core.send_sof_w ),
-    .Q(\u_usb_host.u_core.sof_irq_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18849_ (.D(_00128_),
-    .Q(\u_usb_host.u_core.transfer_start_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_44_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18850_ (.D(_00134_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.push_i ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18851_ (.D(_00133_),
-    .Q(\u_usb_host.u_core.usb_irq_ack_sof_out_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18852_ (.D(_00131_),
-    .Q(\u_usb_host.u_core.usb_irq_ack_done_out_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18853_ (.D(_00132_),
-    .Q(\u_usb_host.u_core.usb_irq_ack_err_out_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18854_ (.D(_00129_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.flush_i ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18855_ (.D(_00130_),
-    .Q(\u_usb_host.u_core.usb_ctrl_wr_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_15_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18856_ (.D(_00127_),
-    .Q(\u_usb_host.reg_ack ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_22_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18857_ (.D(\u_usb_host.u_core.u_sie.next_state_r[0] ),
-    .Q(\u_usb_host.u_core.u_sie.state_q[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18858_ (.D(\u_usb_host.u_core.u_sie.next_state_r[1] ),
-    .Q(\u_usb_host.u_core.u_sie.state_q[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18859_ (.D(\u_usb_host.u_core.u_sie.next_state_r[2] ),
-    .Q(\u_usb_host.u_core.u_sie.state_q[2] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18860_ (.D(\u_usb_host.u_core.u_sie.next_state_r[3] ),
-    .Q(\u_usb_host.u_core.u_sie.state_q[3] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18861_ (.D(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
-    .Q(\u_usb_host.u_core.u_sie.utmi_linestate_q[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_19_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18862_ (.D(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
-    .Q(\u_usb_host.u_core.u_sie.utmi_linestate_q[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_19_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18863_ (.D(\u_usb_host.u_core.u_sie.se0_detect_w ),
-    .Q(\u_usb_host.u_core.u_sie.se0_detect_q ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_19_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18864_ (.D(_00165_),
-    .Q(\u_usb_host.u_core.transfer_ack_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_50_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18865_ (.D(_00037_),
-    .Q(\u_usb_host.u_core.u_sie.data_ready_w ),
-    .RESET_B(net89),
+ sky130_fd_sc_hd__dfrtp_1 _17885_ (.D(_00167_),
+    .Q(\u_usb_host.u_core.utmi_rxerror_i ),
+    .RESET_B(net95),
     .CLK(clknet_leaf_10_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18866_ (.D(\u_usb_host.u_core.u_sie.rx_active_q[1] ),
-    .Q(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _17886_ (.D(\u_usb_host.u_phy.rx_dp_ms ),
+    .Q(\u_usb_host.u_phy.rx_dp0_q ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_192_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18867_ (.D(\u_usb_host.u_core.u_sie.rx_active_q[2] ),
-    .Q(\u_usb_host.u_core.u_sie.rx_active_q[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _17887_ (.D(\u_usb_host.u_phy.rx_dn_ms ),
+    .Q(\u_usb_host.u_phy.rx_dn0_q ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_192_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18868_ (.D(\u_usb_host.u_core.u_sie.rx_active_q[3] ),
-    .Q(\u_usb_host.u_core.u_sie.rx_active_q[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _17888_ (.D(\u_usb_host.u_phy.rx_dp0_q ),
+    .Q(\u_usb_host.u_phy.rx_dp1_q ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18869_ (.D(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
-    .Q(\u_usb_host.u_core.u_sie.rx_active_q[3] ),
-    .RESET_B(net89),
+ sky130_fd_sc_hd__dfrtp_1 _17889_ (.D(\u_usb_host.u_phy.rx_dn0_q ),
+    .Q(\u_usb_host.u_phy.rx_dn1_q ),
+    .RESET_B(net46),
+    .CLK(clknet_4_1_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17890_ (.D(\u_usb_host.u_phy.rxd_ms ),
+    .Q(\u_usb_host.u_phy.rxd0_q ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_1_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17891_ (.D(\u_usb_host.u_phy.rxd0_q ),
+    .Q(\u_usb_host.u_phy.rxd1_q ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_9_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17892_ (.D(\u_usb_host.in_dp ),
+    .Q(\u_usb_host.u_phy.rx_dp_ms ),
+    .RESET_B(net46),
+    .CLK(clknet_4_0_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _17893_ (.D(\u_usb_host.in_dn ),
+    .Q(\u_usb_host.u_phy.rx_dn_ms ),
+    .RESET_B(net46),
+    .CLK(clknet_4_0_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17894_ (.D(\u_usb_host.u_phy.in_rx_w ),
+    .Q(\u_usb_host.u_phy.rxd_ms ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_195_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17895_ (.D(_00126_),
+    .Q(\u_usb_host.u_core.err_cond_q ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_16_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17896_ (.D(\u_usb_host.u_core.send_sof_w ),
+    .Q(\u_usb_host.u_core.sof_irq_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_12_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17897_ (.D(_00128_),
+    .Q(\u_usb_host.u_core.transfer_start_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_41_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17898_ (.D(_00134_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.push_i ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17899_ (.D(_00133_),
+    .Q(\u_usb_host.u_core.usb_irq_ack_sof_out_w ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_13_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17900_ (.D(_00131_),
+    .Q(\u_usb_host.u_core.usb_irq_ack_done_out_w ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17901_ (.D(_00132_),
+    .Q(\u_usb_host.u_core.usb_irq_ack_err_out_w ),
+    .RESET_B(net95),
     .CLK(clknet_leaf_15_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18870_ (.D(\u_usb_host.reg_ack ),
+ sky130_fd_sc_hd__dfrtp_4 _17902_ (.D(_00129_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17903_ (.D(_00130_),
+    .Q(\u_usb_host.u_core.usb_ctrl_wr_q ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_16_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _17904_ (.D(_00127_),
+    .Q(\u_usb_host.reg_ack ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_29_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17905_ (.D(\u_usb_host.u_core.u_sie.next_state_r[0] ),
+    .Q(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_51_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17906_ (.D(\u_usb_host.u_core.u_sie.next_state_r[1] ),
+    .Q(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_51_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17907_ (.D(\u_usb_host.u_core.u_sie.next_state_r[2] ),
+    .Q(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_51_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17908_ (.D(\u_usb_host.u_core.u_sie.next_state_r[3] ),
+    .Q(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_51_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17909_ (.D(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+    .Q(\u_usb_host.u_core.u_sie.utmi_linestate_q[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_10_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17910_ (.D(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+    .Q(\u_usb_host.u_core.u_sie.utmi_linestate_q[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_13_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17911_ (.D(\u_usb_host.u_core.u_sie.se0_detect_w ),
+    .Q(\u_usb_host.u_core.u_sie.se0_detect_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_12_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17912_ (.D(_00165_),
+    .Q(\u_usb_host.u_core.transfer_ack_w ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_47_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17913_ (.D(_00037_),
+    .Q(\u_usb_host.u_core.u_sie.data_ready_w ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_56_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _17914_ (.D(\u_usb_host.u_core.u_sie.rx_active_q[1] ),
+    .Q(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17915_ (.D(\u_usb_host.u_core.u_sie.rx_active_q[2] ),
+    .Q(\u_usb_host.u_core.u_sie.rx_active_q[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17916_ (.D(\u_usb_host.u_core.u_sie.rx_active_q[3] ),
+    .Q(\u_usb_host.u_core.u_sie.rx_active_q[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17917_ (.D(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+    .Q(\u_usb_host.u_core.u_sie.rx_active_q[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_11_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17918_ (.D(\u_usb_host.reg_ack ),
     .Q(\u_usb_host.u_async_wb.wbs_ack_f ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_22_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18871_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17919_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
+    .RESET_B(net95),
+    .CLK(clknet_2_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18872_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17920_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18873_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18874_ (.D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_16_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18875_ (.D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_16_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18876_ (.D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
-    .RESET_B(net88),
+    .RESET_B(net95),
     .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18877_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17921_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_13_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17922_ (.D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17923_ (.D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_13_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17924_ (.D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_5_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17925_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_27_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_21_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18878_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__dfrtp_1 _17926_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_28_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_21_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18879_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17927_ (.D(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_27_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_21_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18880_ (.D(net132),
+ sky130_fd_sc_hd__dfrtp_1 _17928_ (.D(net122),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_28_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_21_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18881_ (.D(net130),
+ sky130_fd_sc_hd__dfrtp_1 _17929_ (.D(net124),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_28_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_21_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18882_ (.D(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_1 _17930_ (.D(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_27_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_21_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18883_ (.D(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
+ sky130_fd_sc_hd__dfrtp_1 _17931_ (.D(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_26_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18884_ (.D(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18885_ (.D(net128),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_26_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18886_ (.D(net126),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_25_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18887_ (.D(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18888_ (.D(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18889_ (.D(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[0] ),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18890_ (.D(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18891_ (.D(_02295_),
-    .Q(\u_usb_host.u_core.intr_sof_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18892_ (.D(_02296_),
-    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18893_ (.D(_02297_),
-    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18894_ (.D(_02298_),
-    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18895_ (.D(_02299_),
-    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_15_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18896_ (.D(_02300_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18897_ (.D(_02301_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18898_ (.D(_02302_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18899_ (.D(_02303_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18900_ (.D(_02304_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_65_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18901_ (.D(_02305_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_66_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18902_ (.D(_02306_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_58_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18903_ (.D(_02307_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18904_ (.D(_02308_),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18905_ (.D(_02309_),
-    .Q(\u_usb_host.u_core.intr_err_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _18906_ (.D(_02310_),
-    .Q(\u_usb_host.out_tx_oen ),
-    .SET_B(net88),
+    .RESET_B(net95),
     .CLK(clknet_leaf_20_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18907_ (.D(_02311_),
+ sky130_fd_sc_hd__dfrtp_2 _17932_ (.D(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_20_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17933_ (.D(net126),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_20_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17934_ (.D(net120),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_20_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17935_ (.D(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17936_ (.D(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17937_ (.D(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[0] ),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17938_ (.D(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17939_ (.D(_02295_),
+    .Q(\u_usb_host.u_core.intr_sof_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_12_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17940_ (.D(_02296_),
+    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_12_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17941_ (.D(_02297_),
+    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17942_ (.D(_02298_),
+    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17943_ (.D(_02299_),
+    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_12_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17944_ (.D(_02300_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17945_ (.D(_02301_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17946_ (.D(_02302_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17947_ (.D(_02303_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17948_ (.D(_02304_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_64_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17949_ (.D(_02305_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17950_ (.D(_02306_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17951_ (.D(_02307_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17952_ (.D(_02308_),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_54_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17953_ (.D(_02309_),
+    .Q(\u_usb_host.u_core.intr_err_q ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_13_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _17954_ (.D(_02310_),
+    .Q(\u_usb_host.out_tx_oen ),
+    .SET_B(net95),
+    .CLK(clknet_leaf_9_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17955_ (.D(_02311_),
     .Q(\u_usb_host.u_core.u_sie.token_q[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_46_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18908_ (.D(_02312_),
+ sky130_fd_sc_hd__dfrtp_1 _17956_ (.D(_02312_),
     .Q(\u_usb_host.u_core.u_sie.token_q[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_46_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18909_ (.D(_02313_),
+ sky130_fd_sc_hd__dfrtp_1 _17957_ (.D(_02313_),
     .Q(\u_usb_host.u_core.u_sie.token_q[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_46_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18910_ (.D(_02314_),
+ sky130_fd_sc_hd__dfrtp_1 _17958_ (.D(_02314_),
     .Q(\u_usb_host.u_core.u_sie.token_q[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_46_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18911_ (.D(_02315_),
+ sky130_fd_sc_hd__dfrtp_1 _17959_ (.D(_02315_),
     .Q(\u_usb_host.u_core.u_sie.token_q[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_45_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18912_ (.D(_02316_),
+ sky130_fd_sc_hd__dfrtp_1 _17960_ (.D(_02316_),
     .Q(\u_usb_host.u_core.u_sie.wait_eop_q ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18913_ (.D(_02317_),
+ sky130_fd_sc_hd__dfrtp_2 _17961_ (.D(_02317_),
     .Q(\u_usb_host.u_phy.rx_dn_q ),
     .RESET_B(net46),
-    .CLK(clknet_leaf_3_usb_clk),
+    .CLK(clknet_leaf_9_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18914_ (.D(_02318_),
+ sky130_fd_sc_hd__dfrtp_1 _17962_ (.D(_02318_),
     .Q(\u_i2cm.cr[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18915_ (.D(_02319_),
+ sky130_fd_sc_hd__dfrtp_1 _17963_ (.D(_02319_),
     .Q(\u_i2cm.cr[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18916_ (.D(_02320_),
+ sky130_fd_sc_hd__dfrtp_1 _17964_ (.D(_02320_),
     .Q(\u_i2cm.cr[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18917_ (.D(_02321_),
+ sky130_fd_sc_hd__dfrtp_2 _17965_ (.D(_02321_),
     .Q(\u_i2cm.cr[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18918_ (.D(_02322_),
+ sky130_fd_sc_hd__dfrtp_2 _17966_ (.D(_02322_),
     .Q(\u_i2cm.cr[5] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18919_ (.D(_02323_),
+ sky130_fd_sc_hd__dfrtp_2 _17967_ (.D(_02323_),
     .Q(\u_i2cm.cr[6] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18920_ (.D(_02324_),
+ sky130_fd_sc_hd__dfrtp_2 _17968_ (.D(_02324_),
     .Q(\u_i2cm.cr[7] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18921_ (.D(_02325_),
+ sky130_fd_sc_hd__dfrtp_1 _17969_ (.D(_02325_),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[0] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260817,7 +257102,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18922_ (.D(_02326_),
+ sky130_fd_sc_hd__dfrtp_1 _17970_ (.D(_02326_),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[1] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260825,7 +257110,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18923_ (.D(_02327_),
+ sky130_fd_sc_hd__dfrtp_1 _17971_ (.D(_02327_),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260833,7 +257118,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18924_ (.D(_02328_),
+ sky130_fd_sc_hd__dfrtp_1 _17972_ (.D(_02328_),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[3] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260841,7 +257126,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18925_ (.D(_02329_),
+ sky130_fd_sc_hd__dfrtp_4 _17973_ (.D(_02329_),
     .Q(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260849,7 +257134,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18926_ (.D(_02330_),
+ sky130_fd_sc_hd__dfrtp_4 _17974_ (.D(_02330_),
     .Q(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260857,7 +257142,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18927_ (.D(_02331_),
+ sky130_fd_sc_hd__dfrtp_4 _17975_ (.D(_02331_),
     .Q(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260865,7 +257150,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18928_ (.D(_02332_),
+ sky130_fd_sc_hd__dfrtp_4 _17976_ (.D(_02332_),
     .Q(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260873,7 +257158,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18929_ (.D(_02333_),
+ sky130_fd_sc_hd__dfrtp_2 _17977_ (.D(_02333_),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -260881,247 +257166,247 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18930_ (.D(_02334_),
+ sky130_fd_sc_hd__dfrtp_2 _17978_ (.D(_02334_),
     .Q(\u_uart_core.u_txfifo.wr_ptr[0] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_2_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18931_ (.D(_02335_),
+ sky130_fd_sc_hd__dfrtp_1 _17979_ (.D(_02335_),
     .Q(\u_uart_core.u_txfifo.wr_ptr[1] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18932_ (.D(_02336_),
+ sky130_fd_sc_hd__dfrtp_4 _17980_ (.D(_02336_),
     .Q(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_2_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18933_ (.D(_02337_),
+ sky130_fd_sc_hd__dfrtp_4 _17981_ (.D(_02337_),
     .Q(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_2_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18934_ (.D(_02338_),
+ sky130_fd_sc_hd__dfrtp_1 _17982_ (.D(_02338_),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[0] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18935_ (.D(_02339_),
+ sky130_fd_sc_hd__dfrtp_1 _17983_ (.D(_02339_),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[1] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18936_ (.D(_02340_),
+ sky130_fd_sc_hd__dfrtp_1 _17984_ (.D(_02340_),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[2] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18937_ (.D(_02341_),
+ sky130_fd_sc_hd__dfrtp_1 _17985_ (.D(_02341_),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[3] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_2_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18938_ (.D(_02342_),
+ sky130_fd_sc_hd__dfrtp_1 _17986_ (.D(_02342_),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .CLK(clknet_leaf_34_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18939_ (.D(_02343_),
+ sky130_fd_sc_hd__dfrtp_1 _17987_ (.D(_02343_),
     .Q(\u_uart_core.u_clk_ctl.low_count[0] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_32_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_26_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18940_ (.D(_02344_),
+ sky130_fd_sc_hd__dfrtp_1 _17988_ (.D(_02344_),
     .Q(\u_uart_core.u_clk_ctl.low_count[1] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_32_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_26_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18941_ (.D(_02345_),
+ sky130_fd_sc_hd__dfrtp_1 _17989_ (.D(_02345_),
     .Q(\u_uart_core.u_clk_ctl.low_count[2] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_31_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18942_ (.D(_02346_),
+ sky130_fd_sc_hd__dfrtp_1 _17990_ (.D(_02346_),
     .Q(\u_uart_core.u_clk_ctl.low_count[3] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_31_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18943_ (.D(_02347_),
+ sky130_fd_sc_hd__dfrtp_1 _17991_ (.D(_02347_),
     .Q(\u_uart_core.u_clk_ctl.low_count[4] ),
-    .RESET_B(net87),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_22_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17992_ (.D(_02348_),
+    .Q(\u_uart_core.u_clk_ctl.low_count[5] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17993_ (.D(_02349_),
+    .Q(\u_uart_core.u_clk_ctl.low_count[6] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_26_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17994_ (.D(_02350_),
+    .Q(\u_uart_core.u_clk_ctl.low_count[7] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17995_ (.D(_02351_),
+    .Q(\u_uart_core.u_clk_ctl.low_count[8] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17996_ (.D(_02352_),
+    .Q(\u_uart_core.u_clk_ctl.low_count[9] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17997_ (.D(_02353_),
+    .Q(\u_uart_core.u_clk_ctl.low_count[10] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_26_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17998_ (.D(_02354_),
+    .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _17999_ (.D(_02355_),
+    .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
+    .RESET_B(net94),
     .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18944_ (.D(_02348_),
-    .Q(\u_uart_core.u_clk_ctl.low_count[5] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_32_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18945_ (.D(_02349_),
-    .Q(\u_uart_core.u_clk_ctl.low_count[6] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_32_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18946_ (.D(_02350_),
-    .Q(\u_uart_core.u_clk_ctl.low_count[7] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_32_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18947_ (.D(_02351_),
-    .Q(\u_uart_core.u_clk_ctl.low_count[8] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18948_ (.D(_02352_),
-    .Q(\u_uart_core.u_clk_ctl.low_count[9] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18949_ (.D(_02353_),
-    .Q(\u_uart_core.u_clk_ctl.low_count[10] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18950_ (.D(_02354_),
-    .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18951_ (.D(_02355_),
-    .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18952_ (.D(_02356_),
+ sky130_fd_sc_hd__dfrtp_1 _18000_ (.D(_02356_),
     .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18953_ (.D(_02357_),
+ sky130_fd_sc_hd__dfrtp_1 _18001_ (.D(_02357_),
     .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18954_ (.D(_02358_),
+ sky130_fd_sc_hd__dfrtp_4 _18002_ (.D(_02358_),
     .Q(\u_uart_core.u_rxfifo.rd_ptr[0] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18955_ (.D(_02359_),
+ sky130_fd_sc_hd__dfrtp_4 _18003_ (.D(_02359_),
     .Q(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18956_ (.D(_02360_),
+ sky130_fd_sc_hd__dfrtp_4 _18004_ (.D(_02360_),
     .Q(\u_uart_core.u_rxfifo.rd_ptr[2] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_31_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18957_ (.D(_02361_),
+ sky130_fd_sc_hd__dfrtp_4 _18005_ (.D(_02361_),
     .Q(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18958_ (.D(_02362_),
+ sky130_fd_sc_hd__dfrtp_1 _18006_ (.D(_02362_),
     .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18959_ (.D(_02363_),
+ sky130_fd_sc_hd__dfrtp_4 _18007_ (.D(_02363_),
     .Q(\u_uart_core.u_rxfifo.wr_ptr[0] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18960_ (.D(_02364_),
+ sky130_fd_sc_hd__dfrtp_4 _18008_ (.D(_02364_),
     .Q(\u_uart_core.u_rxfifo.wr_ptr[1] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -261129,7 +257414,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18961_ (.D(_02365_),
+ sky130_fd_sc_hd__dfrtp_1 _18009_ (.D(_02365_),
     .Q(\u_uart_core.u_rxfifo.wr_ptr[2] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -261137,7 +257422,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18962_ (.D(_02366_),
+ sky130_fd_sc_hd__dfrtp_4 _18010_ (.D(_02366_),
     .Q(\u_uart_core.u_rxfifo.wr_ptr[3] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -261145,39 +257430,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18963_ (.D(_02367_),
+ sky130_fd_sc_hd__dfrtp_1 _18011_ (.D(_02367_),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[0] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18964_ (.D(_02368_),
+ sky130_fd_sc_hd__dfrtp_1 _18012_ (.D(_02368_),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[1] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18965_ (.D(_02369_),
+ sky130_fd_sc_hd__dfrtp_1 _18013_ (.D(_02369_),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[2] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18966_ (.D(_02370_),
+ sky130_fd_sc_hd__dfrtp_1 _18014_ (.D(_02370_),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[3] ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18967_ (.D(_02371_),
+ sky130_fd_sc_hd__dfrtp_1 _18015_ (.D(_02371_),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -261185,1231 +257470,1231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18968_ (.D(_02372_),
+ sky130_fd_sc_hd__dfrtp_2 _18016_ (.D(_02372_),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_31_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18969_ (.D(_02373_),
-    .Q(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18970_ (.D(_02374_),
-    .Q(\u_uart_core.cfg_stop_bit ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18971_ (.D(_02375_),
-    .Q(\u_uart_core.cfg_rx_enable ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18972_ (.D(_02376_),
-    .Q(\u_uart_core.cfg_tx_enable ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18973_ (.D(_02377_),
-    .Q(\u_uart_core.reg_rdata[0] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18974_ (.D(_02378_),
-    .Q(\u_uart_core.reg_rdata[1] ),
-    .RESET_B(net87),
+    .RESET_B(net94),
     .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18975_ (.D(_02379_),
-    .Q(\u_uart_core.reg_rdata[2] ),
-    .RESET_B(net87),
+ sky130_fd_sc_hd__dfrtp_4 _18017_ (.D(_02373_),
+    .Q(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .RESET_B(\u_uart_core.app_reset_n ),
     .CLK(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18976_ (.D(_02380_),
-    .Q(\u_uart_core.reg_rdata[3] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _18018_ (.D(_02374_),
+    .Q(\u_uart_core.cfg_stop_bit ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18977_ (.D(_02381_),
+ sky130_fd_sc_hd__dfrtp_4 _18019_ (.D(_02375_),
+    .Q(\u_uart_core.cfg_rx_enable ),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_2_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18020_ (.D(_02376_),
+    .Q(\u_uart_core.cfg_tx_enable ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_29_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18021_ (.D(_02377_),
+    .Q(\u_uart_core.reg_rdata[0] ),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18022_ (.D(_02378_),
+    .Q(\u_uart_core.reg_rdata[1] ),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18023_ (.D(_02379_),
+    .Q(\u_uart_core.reg_rdata[2] ),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18024_ (.D(_02380_),
+    .Q(\u_uart_core.reg_rdata[3] ),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18025_ (.D(_02381_),
     .Q(\u_uart_core.reg_rdata[4] ),
-    .RESET_B(net87),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18026_ (.D(_02382_),
+    .Q(\u_uart_core.reg_rdata[5] ),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18027_ (.D(_02383_),
+    .Q(\u_uart_core.reg_rdata[6] ),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18028_ (.D(_02384_),
+    .Q(\u_uart_core.reg_rdata[7] ),
+    .RESET_B(\u_uart_core.app_reset_n ),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18029_ (.D(_02385_),
+    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .RESET_B(net94),
     .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18978_ (.D(_02382_),
-    .Q(\u_uart_core.reg_rdata[5] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18979_ (.D(_02383_),
-    .Q(\u_uart_core.reg_rdata[6] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _18980_ (.D(_02384_),
-    .Q(\u_uart_core.reg_rdata[7] ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18981_ (.D(_02385_),
-    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18982_ (.D(_02386_),
+ sky130_fd_sc_hd__dfrtp_2 _18030_ (.D(_02386_),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_0_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18983_ (.D(_02387_),
+ sky130_fd_sc_hd__dfrtp_2 _18031_ (.D(_02387_),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_32_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18984_ (.D(_02388_),
+ sky130_fd_sc_hd__dfrtp_1 _18032_ (.D(_02388_),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_30_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18985_ (.D(_02389_),
+ sky130_fd_sc_hd__dfrtp_4 _18033_ (.D(_02389_),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_31_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18986_ (.D(_02390_),
+ sky130_fd_sc_hd__dfrtp_1 _18034_ (.D(_02390_),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_31_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18987_ (.D(_02391_),
+ sky130_fd_sc_hd__dfrtp_2 _18035_ (.D(_02391_),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_32_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18988_ (.D(_02392_),
+ sky130_fd_sc_hd__dfrtp_4 _18036_ (.D(_02392_),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18989_ (.D(_02393_),
-    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18990_ (.D(_02394_),
-    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_31_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18991_ (.D(_02395_),
-    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_30_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18992_ (.D(_02396_),
-    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_32_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18993_ (.D(_02397_),
+ sky130_fd_sc_hd__dfrtp_1 _18037_ (.D(_02393_),
+    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_28_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18038_ (.D(_02394_),
+    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_29_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18039_ (.D(_02395_),
+    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_29_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18040_ (.D(_02396_),
+    .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_28_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18041_ (.D(_02397_),
     .Q(\u_usb_host.u_phy.rxd_q ),
     .RESET_B(net46),
-    .CLK(clknet_leaf_3_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _18994_ (.D(_02398_),
-    .Q(\u_usb_host.u_phy.out_dp_q ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_19_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18995_ (.D(_02399_),
-    .Q(\u_usb_host.u_phy.out_dn_q ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_20_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _18996_ (.D(_02400_),
-    .Q(\u_usb_host.u_phy.send_eop_q ),
-    .RESET_B(net46),
-    .CLK(net90),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18997_ (.D(_02401_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18998_ (.D(_02402_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_24_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _18999_ (.D(_02403_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19000_ (.D(_02404_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19001_ (.D(_02405_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19002_ (.D(_02406_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19003_ (.D(_02407_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19004_ (.D(_02408_),
-    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_14_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19005_ (.D(_02409_),
-    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_14_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19006_ (.D(_02410_),
-    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_57_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19007_ (.D(_02411_),
-    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19008_ (.D(_02412_),
-    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19009_ (.D(_02413_),
-    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19010_ (.D(_02414_),
-    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_10_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19011_ (.D(_02415_),
-    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_14_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19012_ (.D(_02416_),
-    .Q(\u_usb_host.u_core.in_transfer_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_44_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19013_ (.D(_02417_),
-    .Q(\u_usb_host.u_core.sof_time_q[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_46_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19014_ (.D(_02418_),
-    .Q(\u_usb_host.u_core.sof_time_q[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19015_ (.D(_02419_),
-    .Q(\u_usb_host.u_core.sof_time_q[2] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19016_ (.D(_02420_),
-    .Q(\u_usb_host.u_core.sof_time_q[3] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_46_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19017_ (.D(_02421_),
-    .Q(\u_usb_host.u_core.sof_time_q[4] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_46_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19018_ (.D(_02422_),
-    .Q(\u_usb_host.u_core.sof_time_q[5] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_45_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19019_ (.D(_02423_),
-    .Q(\u_usb_host.u_core.sof_time_q[6] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_46_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19020_ (.D(_02424_),
-    .Q(\u_usb_host.u_core.sof_time_q[7] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_46_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19021_ (.D(_02425_),
-    .Q(\u_usb_host.u_core.sof_time_q[8] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_36_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19022_ (.D(_02426_),
-    .Q(\u_usb_host.u_core.sof_time_q[9] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_36_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19023_ (.D(_02427_),
-    .Q(\u_usb_host.u_core.sof_time_q[10] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_35_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19024_ (.D(_02428_),
-    .Q(\u_usb_host.u_core.sof_time_q[11] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_36_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19025_ (.D(_02429_),
-    .Q(\u_usb_host.u_core.sof_time_q[12] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_45_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19026_ (.D(_02430_),
-    .Q(\u_usb_host.u_core.sof_time_q[13] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_36_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19027_ (.D(_02431_),
-    .Q(\u_usb_host.u_core.sof_time_q[14] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_37_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19028_ (.D(_02432_),
-    .Q(\u_usb_host.u_core.sof_time_q[15] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_45_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19029_ (.D(_02433_),
-    .Q(\u_usb_host.u_core.usb_err_q ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_15_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19030_ (.D(_02434_),
-    .Q(\u_usb_host.u_core.fifo_flush_q ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19031_ (.D(_02435_),
-    .Q(\u_usb_host.u_phy.rx_dp_q ),
-    .RESET_B(net46),
     .CLK(clknet_leaf_2_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19032_ (.D(_02436_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.count[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_61_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19033_ (.D(_02437_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.count[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_63_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19034_ (.D(_02438_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.count[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_61_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19035_ (.D(_02439_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.count[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19036_ (.D(_02440_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.count[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19037_ (.D(_02441_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.count[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19038_ (.D(_02442_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.count[6] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19039_ (.D(_02443_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19040_ (.D(_02444_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_171_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19041_ (.D(_02445_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_172_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19042_ (.D(_02446_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_171_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19043_ (.D(_02447_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_171_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19044_ (.D(_02448_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_171_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19045_ (.D(_02449_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_166_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19046_ (.D(_02450_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_7_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19047_ (.D(_02451_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_166_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19048_ (.D(_02452_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_7_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19049_ (.D(_02453_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_7_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19050_ (.D(_02454_),
-    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_8_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19051_ (.D(_02455_),
-    .Q(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19052_ (.D(_02456_),
-    .Q(\u_usb_host.u_core.resp_expected_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_44_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19053_ (.D(_02457_),
-    .Q(\u_usb_host.u_core.sof_transfer_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19054_ (.D(_02458_),
-    .Q(\u_usb_host.u_core.intr_done_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19055_ (.D(_02459_),
-    .Q(\u_usb_host.u_core.status_response_w[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19056_ (.D(_02460_),
-    .Q(\u_usb_host.u_core.status_response_w[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19057_ (.D(_02461_),
-    .Q(\u_usb_host.u_core.status_response_w[2] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19058_ (.D(_02462_),
-    .Q(\u_usb_host.u_core.status_response_w[3] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_54_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19059_ (.D(_02463_),
-    .Q(\u_usb_host.u_core.status_response_w[4] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19060_ (.D(_02464_),
-    .Q(\u_usb_host.u_core.status_response_w[5] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_44_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19061_ (.D(_02465_),
-    .Q(\u_usb_host.u_core.status_response_w[6] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19062_ (.D(_02466_),
-    .Q(\u_usb_host.u_core.status_response_w[7] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_44_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19063_ (.D(_02467_),
-    .Q(\u_usb_host.u_core.u_sie.wait_resp_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_54_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19064_ (.D(_02468_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_73_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19065_ (.D(_02469_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_74_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19066_ (.D(_02470_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_73_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19067_ (.D(_02471_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_73_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19068_ (.D(_02472_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_73_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19069_ (.D(_02473_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19070_ (.D(_02474_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19071_ (.D(_02475_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_69_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19072_ (.D(_02476_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_67_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19073_ (.D(_02477_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19074_ (.D(_02478_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19075_ (.D(_02479_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_72_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19076_ (.D(_02480_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.count[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19077_ (.D(_02481_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.count[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_4_11_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19078_ (.D(_02482_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.count[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_75_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19079_ (.D(_02483_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.count[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19080_ (.D(_02484_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.count[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19081_ (.D(_02485_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.count[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19082_ (.D(_02486_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.count[6] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_73_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _19083_ (.D(_02487_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19084_ (.D(_02488_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19085_ (.D(_02489_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_57_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19086_ (.D(_02490_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_57_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19087_ (.D(_02491_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19088_ (.D(_02492_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _19089_ (.D(_02493_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _19090_ (.D(_02494_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_58_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19091_ (.D(_02495_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19092_ (.D(_02496_),
-    .Q(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
-    .SET_B(net89),
-    .CLK(clknet_4_9_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19093_ (.D(_02497_),
-    .Q(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19094_ (.D(_02498_),
-    .Q(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_58_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19095_ (.D(_02499_),
-    .Q(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_59_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19096_ (.D(_02500_),
-    .Q(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_58_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19097_ (.D(_02501_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_52_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19098_ (.D(_02502_),
-    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
-    .SET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19099_ (.D(_02503_),
-    .Q(\u_usb_host.u_core.status_crc_err_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_54_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19100_ (.D(_02504_),
-    .Q(\u_usb_host.u_core.u_sie.crc_byte_w ),
-    .RESET_B(net89),
+ sky130_fd_sc_hd__dfrtp_2 _18042_ (.D(_02398_),
+    .Q(\u_usb_host.u_phy.out_dp_q ),
+    .RESET_B(net95),
     .CLK(clknet_leaf_10_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19101_ (.D(_02505_),
+ sky130_fd_sc_hd__dfrtp_1 _18043_ (.D(_02399_),
+    .Q(\u_usb_host.u_phy.out_dn_q ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_10_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18044_ (.D(_02400_),
+    .Q(\u_usb_host.u_phy.send_eop_q ),
+    .RESET_B(net96),
+    .CLK(net97),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18045_ (.D(_02401_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18046_ (.D(_02402_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18047_ (.D(_02403_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18048_ (.D(_02404_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18049_ (.D(_02405_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18050_ (.D(_02406_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18051_ (.D(_02407_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18052_ (.D(_02408_),
+    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_7_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18053_ (.D(_02409_),
+    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_56_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18054_ (.D(_02410_),
+    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18055_ (.D(_02411_),
+    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_56_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18056_ (.D(_02412_),
+    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18057_ (.D(_02413_),
+    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_56_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18058_ (.D(_02414_),
+    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_11_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18059_ (.D(_02415_),
+    .Q(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_7_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18060_ (.D(_02416_),
+    .Q(\u_usb_host.u_core.in_transfer_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18061_ (.D(_02417_),
+    .Q(\u_usb_host.u_core.sof_time_q[0] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_43_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18062_ (.D(_02418_),
+    .Q(\u_usb_host.u_core.sof_time_q[1] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_43_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18063_ (.D(_02419_),
+    .Q(\u_usb_host.u_core.sof_time_q[2] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18064_ (.D(_02420_),
+    .Q(\u_usb_host.u_core.sof_time_q[3] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_43_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18065_ (.D(_02421_),
+    .Q(\u_usb_host.u_core.sof_time_q[4] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_43_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18066_ (.D(_02422_),
+    .Q(\u_usb_host.u_core.sof_time_q[5] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_36_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18067_ (.D(_02423_),
+    .Q(\u_usb_host.u_core.sof_time_q[6] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_43_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18068_ (.D(_02424_),
+    .Q(\u_usb_host.u_core.sof_time_q[7] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_36_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18069_ (.D(_02425_),
+    .Q(\u_usb_host.u_core.sof_time_q[8] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18070_ (.D(_02426_),
+    .Q(\u_usb_host.u_core.sof_time_q[9] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18071_ (.D(_02427_),
+    .Q(\u_usb_host.u_core.sof_time_q[10] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18072_ (.D(_02428_),
+    .Q(\u_usb_host.u_core.sof_time_q[11] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18073_ (.D(_02429_),
+    .Q(\u_usb_host.u_core.sof_time_q[12] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18074_ (.D(_02430_),
+    .Q(\u_usb_host.u_core.sof_time_q[13] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_36_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18075_ (.D(_02431_),
+    .Q(\u_usb_host.u_core.sof_time_q[14] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18076_ (.D(_02432_),
+    .Q(\u_usb_host.u_core.sof_time_q[15] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_36_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18077_ (.D(_02433_),
+    .Q(\u_usb_host.u_core.usb_err_q ),
+    .RESET_B(net95),
+    .CLK(clknet_4_4_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18078_ (.D(_02434_),
+    .Q(\u_usb_host.u_core.fifo_flush_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_41_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18079_ (.D(_02435_),
+    .Q(\u_usb_host.u_phy.rx_dp_q ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_1_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18080_ (.D(_02436_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.count[0] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18081_ (.D(_02437_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.count[1] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_1_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18082_ (.D(_02438_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.count[2] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_1_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18083_ (.D(_02439_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.count[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_2_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18084_ (.D(_02440_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.count[4] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_2_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18085_ (.D(_02441_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.count[5] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_2_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18086_ (.D(_02442_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_2_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18087_ (.D(_02443_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18088_ (.D(_02444_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18089_ (.D(_02445_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18090_ (.D(_02446_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18091_ (.D(_02447_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18092_ (.D(_02448_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_171_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18093_ (.D(_02449_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_166_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18094_ (.D(_02450_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18095_ (.D(_02451_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_168_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18096_ (.D(_02452_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_167_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18097_ (.D(_02453_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_166_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18098_ (.D(_02454_),
+    .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
+    .RESET_B(net46),
+    .CLK(clknet_leaf_166_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18099_ (.D(_02455_),
+    .Q(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18100_ (.D(_02456_),
+    .Q(\u_usb_host.u_core.resp_expected_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18101_ (.D(_02457_),
+    .Q(\u_usb_host.u_core.sof_transfer_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18102_ (.D(_02458_),
+    .Q(\u_usb_host.u_core.intr_done_q ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_52_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18103_ (.D(_02459_),
+    .Q(\u_usb_host.u_core.status_response_w[0] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18104_ (.D(_02460_),
+    .Q(\u_usb_host.u_core.status_response_w[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18105_ (.D(_02461_),
+    .Q(\u_usb_host.u_core.status_response_w[2] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_47_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18106_ (.D(_02462_),
+    .Q(\u_usb_host.u_core.status_response_w[3] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_47_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18107_ (.D(_02463_),
+    .Q(\u_usb_host.u_core.status_response_w[4] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_47_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18108_ (.D(_02464_),
+    .Q(\u_usb_host.u_core.status_response_w[5] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18109_ (.D(_02465_),
+    .Q(\u_usb_host.u_core.status_response_w[6] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18110_ (.D(_02466_),
+    .Q(\u_usb_host.u_core.status_response_w[7] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_47_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18111_ (.D(_02467_),
+    .Q(\u_usb_host.u_core.u_sie.wait_resp_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18112_ (.D(_02468_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_69_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18113_ (.D(_02469_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_68_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18114_ (.D(_02470_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18115_ (.D(_02471_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18116_ (.D(_02472_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18117_ (.D(_02473_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_67_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18118_ (.D(_02474_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18119_ (.D(_02475_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_85_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18120_ (.D(_02476_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_68_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18121_ (.D(_02477_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_86_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18122_ (.D(_02478_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_124_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18123_ (.D(_02479_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_68_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18124_ (.D(_02480_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_76_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18125_ (.D(_02481_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.count[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_76_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18126_ (.D(_02482_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.count[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_47_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18127_ (.D(_02483_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.count[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_47_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18128_ (.D(_02484_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.count[4] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18129_ (.D(_02485_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.count[5] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_73_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18130_ (.D(_02486_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.count[6] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18131_ (.D(_02487_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_54_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18132_ (.D(_02488_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18133_ (.D(_02489_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18134_ (.D(_02490_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18135_ (.D(_02491_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_54_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18136_ (.D(_02492_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18137_ (.D(_02493_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_49_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18138_ (.D(_02494_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_49_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18139_ (.D(_02495_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_54_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18140_ (.D(_02496_),
+    .Q(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18141_ (.D(_02497_),
+    .Q(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18142_ (.D(_02498_),
+    .Q(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18143_ (.D(_02499_),
+    .Q(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_54_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18144_ (.D(_02500_),
+    .Q(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_54_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18145_ (.D(_02501_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_49_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18146_ (.D(_02502_),
+    .Q(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
+    .SET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18147_ (.D(_02503_),
+    .Q(\u_usb_host.u_core.status_crc_err_w ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18148_ (.D(_02504_),
+    .Q(\u_usb_host.u_core.u_sie.crc_byte_w ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18149_ (.D(_02505_),
     .Q(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
-    .RESET_B(net89),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_56_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18150_ (.D(_02506_),
+    .Q(\u_usb_host.u_core.status_tx_done_w ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_51_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18151_ (.D(_02507_),
+    .Q(\u_usb_host.u_core.status_rx_done_w ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18152_ (.D(_02508_),
+    .Q(\u_usb_host.u_core.status_timeout_w ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18153_ (.D(_02509_),
+    .Q(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_14_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18154_ (.D(_02510_),
+    .Q(\u_usb_host.u_core.status_rx_count_w[1] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_51_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18155_ (.D(_02511_),
+    .Q(\u_usb_host.u_core.status_rx_count_w[2] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_51_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18156_ (.D(_02512_),
+    .Q(\u_usb_host.u_core.status_rx_count_w[3] ),
+    .RESET_B(net104),
     .CLK(clknet_leaf_13_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19102_ (.D(_02506_),
-    .Q(\u_usb_host.u_core.status_tx_done_w ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19103_ (.D(_02507_),
-    .Q(\u_usb_host.u_core.status_rx_done_w ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19104_ (.D(_02508_),
-    .Q(\u_usb_host.u_core.status_timeout_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_42_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19105_ (.D(_02509_),
-    .Q(\u_usb_host.u_core.status_rx_count_w[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_42_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19106_ (.D(_02510_),
-    .Q(\u_usb_host.u_core.status_rx_count_w[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_41_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19107_ (.D(_02511_),
-    .Q(\u_usb_host.u_core.status_rx_count_w[2] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_16_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19108_ (.D(_02512_),
-    .Q(\u_usb_host.u_core.status_rx_count_w[3] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19109_ (.D(_02513_),
+ sky130_fd_sc_hd__dfrtp_1 _18157_ (.D(_02513_),
     .Q(\u_usb_host.u_core.status_rx_count_w[4] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_14_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19110_ (.D(_02514_),
+ sky130_fd_sc_hd__dfrtp_1 _18158_ (.D(_02514_),
     .Q(\u_usb_host.u_core.status_rx_count_w[5] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_14_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19111_ (.D(_02515_),
+ sky130_fd_sc_hd__dfrtp_1 _18159_ (.D(_02515_),
     .Q(\u_usb_host.u_core.status_rx_count_w[6] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_15_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19112_ (.D(_02516_),
+ sky130_fd_sc_hd__dfrtp_1 _18160_ (.D(_02516_),
     .Q(\u_usb_host.u_core.status_rx_count_w[7] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_15_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19113_ (.D(_02517_),
+ sky130_fd_sc_hd__dfrtp_1 _18161_ (.D(_02517_),
     .Q(\u_usb_host.u_core.status_rx_count_w[8] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_25_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_26_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19114_ (.D(_02518_),
+ sky130_fd_sc_hd__dfrtp_1 _18162_ (.D(_02518_),
     .Q(\u_usb_host.u_core.status_rx_count_w[9] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_25_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_26_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19115_ (.D(_02519_),
+ sky130_fd_sc_hd__dfrtp_1 _18163_ (.D(_02519_),
     .Q(\u_usb_host.u_core.status_rx_count_w[10] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_25_usb_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_39_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19116_ (.D(_02520_),
+ sky130_fd_sc_hd__dfrtp_1 _18164_ (.D(_02520_),
     .Q(\u_usb_host.u_core.status_rx_count_w[11] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_41_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_39_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19117_ (.D(_02521_),
+ sky130_fd_sc_hd__dfrtp_1 _18165_ (.D(_02521_),
     .Q(\u_usb_host.u_core.status_rx_count_w[12] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_41_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19118_ (.D(_02522_),
+ sky130_fd_sc_hd__dfrtp_2 _18166_ (.D(_02522_),
     .Q(\u_usb_host.u_core.status_rx_count_w[13] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_41_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19119_ (.D(_02523_),
+ sky130_fd_sc_hd__dfrtp_2 _18167_ (.D(_02523_),
     .Q(\u_usb_host.u_core.status_rx_count_w[14] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_42_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19120_ (.D(_02524_),
+ sky130_fd_sc_hd__dfrtp_2 _18168_ (.D(_02524_),
     .Q(\u_usb_host.u_core.status_rx_count_w[15] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_41_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19121_ (.D(_02525_),
+ sky130_fd_sc_hd__dfrtp_2 _18169_ (.D(_02525_),
     .Q(\u_uart_core.u_txfsm.cnt[0] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262417,7 +258702,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19122_ (.D(_02526_),
+ sky130_fd_sc_hd__dfrtp_2 _18170_ (.D(_02526_),
     .Q(\u_uart_core.u_txfsm.cnt[1] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262425,7 +258710,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19123_ (.D(_02527_),
+ sky130_fd_sc_hd__dfrtp_1 _18171_ (.D(_02527_),
     .Q(\u_uart_core.u_txfsm.cnt[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262433,31 +258718,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19124_ (.D(_02528_),
+ sky130_fd_sc_hd__dfrtp_1 _18172_ (.D(_02528_),
     .Q(\u_usb_host.u_phy.bit_count_q[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_4_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19125_ (.D(_02529_),
+ sky130_fd_sc_hd__dfrtp_1 _18173_ (.D(_02529_),
     .Q(\u_usb_host.u_phy.bit_count_q[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_4_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19126_ (.D(_02530_),
+ sky130_fd_sc_hd__dfrtp_1 _18174_ (.D(_02530_),
     .Q(\u_usb_host.u_phy.bit_count_q[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_4_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19127_ (.D(_02531_),
+ sky130_fd_sc_hd__dfstp_1 _18175_ (.D(_02531_),
     .Q(\u_uart_core.tx_fifo_rd_empty ),
     .SET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262465,15 +258750,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19128_ (.D(_02532_),
+ sky130_fd_sc_hd__dfrtp_1 _18176_ (.D(_02532_),
     .Q(\u_uart_core.app_tx_fifo_full ),
     .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_3_app_clk),
+    .CLK(clknet_leaf_2_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19129_ (.D(_02533_),
+ sky130_fd_sc_hd__dfrtp_1 _18177_ (.D(_02533_),
     .Q(\u_uart_core.u_txfsm.txdata[0] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262481,7 +258766,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19130_ (.D(_02534_),
+ sky130_fd_sc_hd__dfrtp_1 _18178_ (.D(_02534_),
     .Q(\u_uart_core.u_txfsm.txdata[1] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262489,7 +258774,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19131_ (.D(_02535_),
+ sky130_fd_sc_hd__dfrtp_1 _18179_ (.D(_02535_),
     .Q(\u_uart_core.u_txfsm.txdata[2] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262497,7 +258782,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19132_ (.D(_02536_),
+ sky130_fd_sc_hd__dfrtp_1 _18180_ (.D(_02536_),
     .Q(\u_uart_core.u_txfsm.txdata[3] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262505,7 +258790,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19133_ (.D(_02537_),
+ sky130_fd_sc_hd__dfrtp_1 _18181_ (.D(_02537_),
     .Q(\u_uart_core.u_txfsm.txdata[4] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262513,7 +258798,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19134_ (.D(_02538_),
+ sky130_fd_sc_hd__dfrtp_1 _18182_ (.D(_02538_),
     .Q(\u_uart_core.u_txfsm.txdata[5] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262521,7 +258806,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19135_ (.D(_02539_),
+ sky130_fd_sc_hd__dfrtp_1 _18183_ (.D(_02539_),
     .Q(\u_uart_core.u_txfsm.txdata[6] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262529,7 +258814,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19136_ (.D(_02540_),
+ sky130_fd_sc_hd__dfrtp_1 _18184_ (.D(_02540_),
     .Q(\u_uart_core.u_txfsm.txdata[7] ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262537,15 +258822,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19137_ (.D(_02541_),
+ sky130_fd_sc_hd__dfrtp_1 _18185_ (.D(_02541_),
     .Q(\u_usb_host.u_async_wb.PendingRd ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_14_app_clk),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_4_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19138_ (.D(_02542_),
+ sky130_fd_sc_hd__dfrtp_4 _18186_ (.D(_02542_),
     .Q(\u_uart_core.tx_fifo_rd ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262553,31 +258838,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19139_ (.D(_02543_),
+ sky130_fd_sc_hd__dfstp_1 _18187_ (.D(_02543_),
     .Q(\u_usb_host.u_phy.ones_count_q[0] ),
-    .SET_B(net46),
-    .CLK(clknet_leaf_15_usb_clk),
+    .SET_B(net96),
+    .CLK(clknet_leaf_11_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19140_ (.D(_02544_),
+ sky130_fd_sc_hd__dfrtp_1 _18188_ (.D(_02544_),
     .Q(\u_usb_host.u_phy.ones_count_q[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_15_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_12_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19141_ (.D(_02545_),
+ sky130_fd_sc_hd__dfrtp_1 _18189_ (.D(_02545_),
     .Q(\u_usb_host.u_phy.ones_count_q[2] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_14_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_12_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19142_ (.D(_02546_),
+ sky130_fd_sc_hd__dfstp_1 _18190_ (.D(_02546_),
     .Q(\u_uart_core.txd ),
     .SET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -262585,1319 +258870,1319 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19143_ (.D(_02547_),
+ sky130_fd_sc_hd__dfrtp_1 _18191_ (.D(_02547_),
     .Q(\u_usb_host.u_phy.sync_j_detected_q ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_12_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_8_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19144_ (.D(_02548_),
+ sky130_fd_sc_hd__dfrtp_1 _18192_ (.D(_02548_),
     .Q(\u_usb_host.u_core.u_sie.token_q[5] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_50_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19145_ (.D(_02549_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_50_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19146_ (.D(_02550_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[7] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_48_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19147_ (.D(_02551_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[8] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_48_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19148_ (.D(_02552_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[9] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_48_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19149_ (.D(_02553_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[10] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_48_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19150_ (.D(_02554_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[11] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_49_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19151_ (.D(_02555_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[12] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19152_ (.D(_02556_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[13] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_50_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19153_ (.D(_02557_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[14] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_50_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19154_ (.D(_02558_),
-    .Q(\u_usb_host.u_core.u_sie.token_q[15] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_48_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19155_ (.D(_02559_),
-    .Q(\u_usb_host.u_phy.rxd_last_j_q ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_12_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19156_ (.D(_02560_),
-    .Q(\u_usb_host.u_phy.sample_cnt_q[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_4_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19157_ (.D(_02561_),
-    .Q(\u_usb_host.u_phy.sample_cnt_q[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_3_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19158_ (.D(_02562_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_26_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19159_ (.D(net181),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_26_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19160_ (.D(_02564_),
-    .Q(\u_usb_host.u_core.sof_value_q[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19161_ (.D(_02565_),
-    .Q(\u_usb_host.u_core.sof_value_q[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19162_ (.D(_02566_),
-    .Q(\u_usb_host.u_core.sof_value_q[2] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19163_ (.D(_02567_),
-    .Q(\u_usb_host.u_core.sof_value_q[3] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19164_ (.D(_02568_),
-    .Q(\u_usb_host.u_core.sof_value_q[4] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19165_ (.D(_02569_),
-    .Q(\u_usb_host.u_core.sof_value_q[5] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_47_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19166_ (.D(_02570_),
-    .Q(\u_usb_host.u_core.sof_value_q[6] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_49_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19167_ (.D(_02571_),
-    .Q(\u_usb_host.u_core.sof_value_q[7] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_49_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19168_ (.D(_02572_),
-    .Q(\u_usb_host.u_core.sof_value_q[8] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_49_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19169_ (.D(_02573_),
-    .Q(\u_usb_host.u_core.sof_value_q[9] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_49_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19170_ (.D(_02574_),
-    .Q(\u_usb_host.u_core.sof_value_q[10] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_49_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19171_ (.D(_02575_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_52_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19172_ (.D(_02576_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_52_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19173_ (.D(net169),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_73_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19174_ (.D(_02578_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_58_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19175_ (.D(_02579_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_58_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19176_ (.D(_02580_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19177_ (.D(_02581_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19178_ (.D(_02582_),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_51_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19179_ (.D(net179),
-    .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_42_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19180_ (.D(net168),
-    .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_42_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19181_ (.D(_02585_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19182_ (.D(_02586_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19183_ (.D(_02587_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_39_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19184_ (.D(_02588_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_40_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19185_ (.D(_02589_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_38_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19186_ (.D(_02590_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_38_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19187_ (.D(_02591_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_37_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19188_ (.D(_02592_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_37_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19189_ (.D(_02593_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_37_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19190_ (.D(_02594_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_43_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19191_ (.D(_02595_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
-    .RESET_B(net46),
+    .RESET_B(net104),
     .CLK(clknet_leaf_44_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19192_ (.D(net165),
-    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_37_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _18193_ (.D(_02549_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[6] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19193_ (.D(net162),
-    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18194_ (.D(_02550_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[7] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19194_ (.D(net159),
-    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18195_ (.D(_02551_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[8] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19195_ (.D(net156),
-    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _18196_ (.D(_02552_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[9] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19196_ (.D(_02600_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_36_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _18197_ (.D(_02553_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[10] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19197_ (.D(net153),
-    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_36_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18198_ (.D(_02554_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[11] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19198_ (.D(_02602_),
-    .Q(\u_usb_host.u_core.u_sie.data_idx_i ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _18199_ (.D(_02555_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_45_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19199_ (.D(_02603_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18200_ (.D(_02556_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[13] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_45_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19200_ (.D(_02604_),
-    .Q(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18201_ (.D(_02557_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[14] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_45_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19201_ (.D(_02605_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18202_ (.D(_02558_),
+    .Q(\u_usb_host.u_core.u_sie.token_q[15] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_45_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19202_ (.D(_02606_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18203_ (.D(_02559_),
+    .Q(\u_usb_host.u_phy.rxd_last_j_q ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_11_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19203_ (.D(_02607_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[2] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18204_ (.D(_02560_),
+    .Q(\u_usb_host.u_phy.sample_cnt_q[0] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_2_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19204_ (.D(_02608_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[3] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18205_ (.D(_02561_),
+    .Q(\u_usb_host.u_phy.sample_cnt_q[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_8_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19205_ (.D(_02609_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[4] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19206_ (.D(_02610_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[5] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19207_ (.D(_02611_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[6] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19208_ (.D(_02612_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[7] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19209_ (.D(_02613_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[8] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19210_ (.D(_02614_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[9] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_25_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19211_ (.D(_02615_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[10] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_25_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19212_ (.D(_02616_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[11] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_40_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19213_ (.D(_02617_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[12] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_41_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19214_ (.D(_02618_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[13] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_41_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19215_ (.D(_02619_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[14] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_38_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19216_ (.D(_02620_),
-    .Q(\u_usb_host.u_core.u_sie.data_len_i[15] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_41_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19217_ (.D(_02621_),
-    .Q(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19218_ (.D(_02622_),
-    .Q(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19219_ (.D(_02623_),
-    .Q(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19220_ (.D(_02624_),
-    .Q(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19221_ (.D(_02625_),
-    .Q(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_15_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19222_ (.D(_02626_),
-    .Q(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_15_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19223_ (.D(_02627_),
-    .Q(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_15_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19224_ (.D(_02628_),
-    .Q(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_15_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19225_ (.D(_02629_),
-    .Q(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19226_ (.D(_02630_),
-    .Q(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_56_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19227_ (.D(_02631_),
-    .Q(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_55_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19228_ (.D(_02632_),
-    .Q(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_16_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19229_ (.D(_02633_),
-    .Q(\u_usb_host.reg_rdata[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19230_ (.D(_02634_),
-    .Q(\u_usb_host.reg_rdata[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19231_ (.D(_02635_),
-    .Q(\u_usb_host.reg_rdata[2] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_18_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19232_ (.D(_02636_),
-    .Q(\u_usb_host.reg_rdata[3] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19233_ (.D(_02637_),
-    .Q(\u_usb_host.reg_rdata[4] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19234_ (.D(_02638_),
-    .Q(\u_usb_host.reg_rdata[5] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19235_ (.D(_02639_),
-    .Q(\u_usb_host.reg_rdata[6] ),
-    .RESET_B(net88),
+ sky130_fd_sc_hd__dfrtp_1 _18206_ (.D(_02562_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[0] ),
+    .RESET_B(net95),
     .CLK(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19236_ (.D(_02640_),
-    .Q(\u_usb_host.reg_rdata[7] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18207_ (.D(_02563_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_20_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19237_ (.D(_02641_),
-    .Q(\u_usb_host.reg_rdata[8] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18208_ (.D(_02564_),
+    .Q(\u_usb_host.u_core.sof_value_q[0] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19238_ (.D(_02642_),
-    .Q(\u_usb_host.reg_rdata[9] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18209_ (.D(_02565_),
+    .Q(\u_usb_host.u_core.sof_value_q[1] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_41_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19239_ (.D(_02643_),
-    .Q(\u_usb_host.reg_rdata[10] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18210_ (.D(_02566_),
+    .Q(\u_usb_host.u_core.sof_value_q[2] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_41_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19240_ (.D(_02644_),
-    .Q(\u_usb_host.reg_rdata[11] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18211_ (.D(_02567_),
+    .Q(\u_usb_host.u_core.sof_value_q[3] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19241_ (.D(_02645_),
-    .Q(\u_usb_host.reg_rdata[12] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18212_ (.D(_02568_),
+    .Q(\u_usb_host.u_core.sof_value_q[4] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19242_ (.D(_02646_),
-    .Q(\u_usb_host.reg_rdata[13] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18213_ (.D(_02569_),
+    .Q(\u_usb_host.u_core.sof_value_q[5] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_43_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19243_ (.D(_02647_),
-    .Q(\u_usb_host.reg_rdata[14] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18214_ (.D(_02570_),
+    .Q(\u_usb_host.u_core.sof_value_q[6] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_43_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19244_ (.D(_02648_),
-    .Q(\u_usb_host.reg_rdata[15] ),
-    .RESET_B(net88),
+ sky130_fd_sc_hd__dfrtp_1 _18215_ (.D(_02571_),
+    .Q(\u_usb_host.u_core.sof_value_q[7] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_43_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18216_ (.D(_02572_),
+    .Q(\u_usb_host.u_core.sof_value_q[8] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18217_ (.D(_02573_),
+    .Q(\u_usb_host.u_core.sof_value_q[9] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18218_ (.D(_02574_),
+    .Q(\u_usb_host.u_core.sof_value_q[10] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_44_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18219_ (.D(_02575_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_51_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18220_ (.D(_02576_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18221_ (.D(_02577_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_72_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18222_ (.D(_02578_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_49_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18223_ (.D(_02579_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_49_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18224_ (.D(_02580_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_49_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18225_ (.D(_02581_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18226_ (.D(_02582_),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_48_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18227_ (.D(_02583_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_39_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18228_ (.D(_02584_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_39_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18229_ (.D(_02585_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+    .RESET_B(net95),
     .CLK(clknet_leaf_38_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19245_ (.D(_02649_),
-    .Q(\u_usb_host.reg_rdata[16] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_39_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19246_ (.D(_02650_),
-    .Q(\u_usb_host.reg_rdata[17] ),
-    .RESET_B(net88),
+ sky130_fd_sc_hd__dfrtp_4 _18230_ (.D(_02586_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+    .RESET_B(net95),
     .CLK(clknet_leaf_38_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19247_ (.D(_02651_),
-    .Q(\u_usb_host.reg_rdata[18] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_39_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _18231_ (.D(_02587_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_28_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19248_ (.D(_02652_),
-    .Q(\u_usb_host.reg_rdata[19] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_39_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _18232_ (.D(_02588_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19249_ (.D(_02653_),
-    .Q(\u_usb_host.reg_rdata[20] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _18233_ (.D(_02589_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19250_ (.D(_02654_),
-    .Q(\u_usb_host.reg_rdata[21] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _18234_ (.D(_02590_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19251_ (.D(_02655_),
-    .Q(\u_usb_host.reg_rdata[22] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18235_ (.D(_02591_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19252_ (.D(_02656_),
-    .Q(\u_usb_host.reg_rdata[23] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _18236_ (.D(_02592_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19253_ (.D(net185),
-    .Q(\u_usb_host.reg_rdata[24] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _18237_ (.D(_02593_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19254_ (.D(net187),
-    .Q(\u_usb_host.reg_rdata[25] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_35_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19255_ (.D(net186),
-    .Q(\u_usb_host.reg_rdata[26] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_35_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19256_ (.D(net188),
-    .Q(\u_usb_host.reg_rdata[27] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_35_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19257_ (.D(_02661_),
-    .Q(\u_usb_host.reg_rdata[28] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_35_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19258_ (.D(_02662_),
-    .Q(\u_usb_host.reg_rdata[29] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_36_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19259_ (.D(_02663_),
-    .Q(\u_usb_host.reg_rdata[30] ),
-    .RESET_B(net88),
+ sky130_fd_sc_hd__dfrtp_2 _18238_ (.D(_02594_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+    .RESET_B(net95),
     .CLK(clknet_leaf_37_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19260_ (.D(_02664_),
-    .Q(\u_usb_host.reg_rdata[31] ),
-    .RESET_B(net88),
+ sky130_fd_sc_hd__dfrtp_1 _18239_ (.D(_02595_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18240_ (.D(_02596_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18241_ (.D(_02597_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18242_ (.D(_02598_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18243_ (.D(_02599_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18244_ (.D(_02600_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18245_ (.D(_02601_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18246_ (.D(_02602_),
+    .Q(\u_usb_host.u_core.u_sie.data_idx_i ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18247_ (.D(_02603_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18248_ (.D(_02604_),
+    .Q(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18249_ (.D(_02605_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_14_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18250_ (.D(_02606_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[1] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_13_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18251_ (.D(_02607_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[2] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_14_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18252_ (.D(_02608_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[3] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_14_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18253_ (.D(_02609_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[4] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_25_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18254_ (.D(_02610_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[5] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_25_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18255_ (.D(_02611_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[6] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_25_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18256_ (.D(_02612_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[7] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_26_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18257_ (.D(_02613_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[8] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_26_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18258_ (.D(_02614_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[9] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_26_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18259_ (.D(_02615_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[10] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_27_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18260_ (.D(_02616_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[11] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_26_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18261_ (.D(_02617_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[12] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_39_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18262_ (.D(_02618_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[13] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_42_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18263_ (.D(_02619_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[14] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_39_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18264_ (.D(_02620_),
+    .Q(\u_usb_host.u_core.u_sie.data_len_i[15] ),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_39_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18265_ (.D(_02621_),
+    .Q(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_17_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18266_ (.D(_02622_),
+    .Q(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_16_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18267_ (.D(_02623_),
+    .Q(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_16_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18268_ (.D(_02624_),
+    .Q(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_15_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18269_ (.D(_02625_),
+    .Q(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_24_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18270_ (.D(_02626_),
+    .Q(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_17_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18271_ (.D(_02627_),
+    .Q(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_17_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18272_ (.D(_02628_),
+    .Q(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_17_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18273_ (.D(_02629_),
+    .Q(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_25_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18274_ (.D(_02630_),
+    .Q(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_15_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18275_ (.D(_02631_),
+    .Q(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_25_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18276_ (.D(_02632_),
+    .Q(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_25_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18277_ (.D(_02633_),
+    .Q(\u_usb_host.reg_rdata[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_17_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18278_ (.D(_02634_),
+    .Q(\u_usb_host.reg_rdata[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_17_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18279_ (.D(_02635_),
+    .Q(\u_usb_host.reg_rdata[2] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_17_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18280_ (.D(_02636_),
+    .Q(\u_usb_host.reg_rdata[3] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_23_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18281_ (.D(_02637_),
+    .Q(\u_usb_host.reg_rdata[4] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_24_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18282_ (.D(_02638_),
+    .Q(\u_usb_host.reg_rdata[5] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_25_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18283_ (.D(_02639_),
+    .Q(\u_usb_host.reg_rdata[6] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_24_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18284_ (.D(_02640_),
+    .Q(\u_usb_host.reg_rdata[7] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_26_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18285_ (.D(_02641_),
+    .Q(\u_usb_host.reg_rdata[8] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_26_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18286_ (.D(_02642_),
+    .Q(\u_usb_host.reg_rdata[9] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_27_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18287_ (.D(_02643_),
+    .Q(\u_usb_host.reg_rdata[10] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_27_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18288_ (.D(_02644_),
+    .Q(\u_usb_host.reg_rdata[11] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_28_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18289_ (.D(_02645_),
+    .Q(\u_usb_host.reg_rdata[12] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_28_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18290_ (.D(_02646_),
+    .Q(\u_usb_host.reg_rdata[13] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_28_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18291_ (.D(_02647_),
+    .Q(\u_usb_host.reg_rdata[14] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18292_ (.D(_02648_),
+    .Q(\u_usb_host.reg_rdata[15] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_38_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18293_ (.D(_02649_),
+    .Q(\u_usb_host.reg_rdata[16] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18294_ (.D(_02650_),
+    .Q(\u_usb_host.reg_rdata[17] ),
+    .RESET_B(net95),
     .CLK(clknet_leaf_33_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19261_ (.D(_02665_),
+ sky130_fd_sc_hd__dfrtp_1 _18295_ (.D(_02651_),
+    .Q(\u_usb_host.reg_rdata[18] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_33_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18296_ (.D(_02652_),
+    .Q(\u_usb_host.reg_rdata[19] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_33_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18297_ (.D(_02653_),
+    .Q(\u_usb_host.reg_rdata[20] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_34_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18298_ (.D(_02654_),
+    .Q(\u_usb_host.reg_rdata[21] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_34_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18299_ (.D(_02655_),
+    .Q(\u_usb_host.reg_rdata[22] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18300_ (.D(_02656_),
+    .Q(\u_usb_host.reg_rdata[23] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18301_ (.D(_02657_),
+    .Q(\u_usb_host.reg_rdata[24] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_34_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18302_ (.D(_02658_),
+    .Q(\u_usb_host.reg_rdata[25] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18303_ (.D(_02659_),
+    .Q(\u_usb_host.reg_rdata[26] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18304_ (.D(_02660_),
+    .Q(\u_usb_host.reg_rdata[27] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_35_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18305_ (.D(_02661_),
+    .Q(\u_usb_host.reg_rdata[28] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18306_ (.D(_02662_),
+    .Q(\u_usb_host.reg_rdata[29] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18307_ (.D(_02663_),
+    .Q(\u_usb_host.reg_rdata[30] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18308_ (.D(_02664_),
+    .Q(\u_usb_host.reg_rdata[31] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_37_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18309_ (.D(_02665_),
     .Q(\u_usb_host.u_core.u_sie.send_ack_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_54_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_41_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19262_ (.D(_02666_),
+ sky130_fd_sc_hd__dfrtp_1 _18310_ (.D(_02666_),
     .Q(\u_usb_host.u_core.u_sie.send_sof_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_54_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_40_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19263_ (.D(_02667_),
+ sky130_fd_sc_hd__dfrtp_1 _18311_ (.D(_02667_),
     .Q(\u_usb_host.u_core.u_sie.send_data1_q ),
-    .RESET_B(net46),
-    .CLK(clknet_leaf_54_usb_clk),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_50_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19264_ (.D(_02668_),
+ sky130_fd_sc_hd__dfrtp_1 _18312_ (.D(_02668_),
     .Q(\u_usb_host.u_core.u_sie.in_transfer_q ),
-    .RESET_B(net46),
+    .RESET_B(net104),
+    .CLK(clknet_leaf_50_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18313_ (.D(_02669_),
+    .Q(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_60_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18314_ (.D(_02670_),
+    .Q(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_62_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18315_ (.D(_02671_),
+    .Q(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_62_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18316_ (.D(_02672_),
+    .Q(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_62_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18317_ (.D(_02673_),
+    .Q(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18318_ (.D(_02674_),
+    .Q(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18319_ (.D(_02675_),
+    .Q(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_58_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18320_ (.D(_02676_),
+    .Q(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_60_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18321_ (.D(_02677_),
+    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[8] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_58_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18322_ (.D(_02678_),
+    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[9] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18323_ (.D(_02679_),
+    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[10] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_62_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18324_ (.D(_02680_),
+    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[11] ),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18325_ (.D(_02681_),
+    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[12] ),
+    .RESET_B(net96),
     .CLK(clknet_leaf_54_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19265_ (.D(_02669_),
-    .Q(\u_usb_host.u_core.fifo_rx_data_w[0] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_12_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19266_ (.D(_02670_),
-    .Q(\u_usb_host.u_core.fifo_rx_data_w[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_5_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19267_ (.D(_02671_),
-    .Q(\u_usb_host.u_core.fifo_rx_data_w[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_12_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19268_ (.D(_02672_),
-    .Q(\u_usb_host.u_core.fifo_rx_data_w[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19269_ (.D(_02673_),
-    .Q(\u_usb_host.u_core.fifo_rx_data_w[4] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19270_ (.D(_02674_),
-    .Q(\u_usb_host.u_core.fifo_rx_data_w[5] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19271_ (.D(_02675_),
-    .Q(\u_usb_host.u_core.fifo_rx_data_w[6] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19272_ (.D(_02676_),
-    .Q(\u_usb_host.u_core.fifo_rx_data_w[7] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19273_ (.D(_02677_),
-    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[8] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_12_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19274_ (.D(_02678_),
-    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[9] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_12_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19275_ (.D(_02679_),
-    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[10] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_11_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19276_ (.D(_02680_),
-    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[11] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19277_ (.D(_02681_),
-    .Q(\u_usb_host.u_core.u_sie.data_buffer_q[12] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_8_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19278_ (.D(_02682_),
+ sky130_fd_sc_hd__dfrtp_1 _18326_ (.D(_02682_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[13] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_8_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_63_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19279_ (.D(_02683_),
+ sky130_fd_sc_hd__dfrtp_1 _18327_ (.D(_02683_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[14] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_57_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19280_ (.D(_02684_),
+ sky130_fd_sc_hd__dfrtp_1 _18328_ (.D(_02684_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[15] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_11_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_57_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19281_ (.D(_02685_),
+ sky130_fd_sc_hd__dfrtp_1 _18329_ (.D(_02685_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[16] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_11_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_58_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19282_ (.D(_02686_),
+ sky130_fd_sc_hd__dfrtp_1 _18330_ (.D(_02686_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[17] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_12_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19283_ (.D(_02687_),
+ sky130_fd_sc_hd__dfrtp_1 _18331_ (.D(_02687_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[18] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19284_ (.D(_02688_),
+ sky130_fd_sc_hd__dfrtp_1 _18332_ (.D(_02688_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[19] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19285_ (.D(_02689_),
+ sky130_fd_sc_hd__dfrtp_1 _18333_ (.D(_02689_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[20] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_61_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19286_ (.D(_02690_),
+ sky130_fd_sc_hd__dfrtp_1 _18334_ (.D(_02690_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[21] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_61_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19287_ (.D(_02691_),
+ sky130_fd_sc_hd__dfrtp_1 _18335_ (.D(_02691_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[22] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_57_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19288_ (.D(_02692_),
+ sky130_fd_sc_hd__dfrtp_1 _18336_ (.D(_02692_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[23] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_9_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_57_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19289_ (.D(_02693_),
+ sky130_fd_sc_hd__dfrtp_1 _18337_ (.D(_02693_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[24] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_11_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_57_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19290_ (.D(_02694_),
+ sky130_fd_sc_hd__dfrtp_1 _18338_ (.D(_02694_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[25] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_11_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19291_ (.D(_02695_),
+ sky130_fd_sc_hd__dfrtp_1 _18339_ (.D(_02695_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[26] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_10_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19292_ (.D(_02696_),
+ sky130_fd_sc_hd__dfrtp_1 _18340_ (.D(_02696_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[27] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19293_ (.D(_02697_),
+ sky130_fd_sc_hd__dfrtp_1 _18341_ (.D(_02697_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[28] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19294_ (.D(_02698_),
+ sky130_fd_sc_hd__dfrtp_1 _18342_ (.D(_02698_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[29] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_56_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19295_ (.D(_02699_),
+ sky130_fd_sc_hd__dfrtp_1 _18343_ (.D(_02699_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[30] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_60_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_56_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19296_ (.D(_02700_),
+ sky130_fd_sc_hd__dfrtp_1 _18344_ (.D(_02700_),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[31] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_10_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_56_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19297_ (.D(_02701_),
+ sky130_fd_sc_hd__dfrtp_1 _18345_ (.D(_02701_),
     .Q(\u_usb_host.u_core.u_sie.data_valid_q[1] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_10_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_57_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19298_ (.D(_02702_),
+ sky130_fd_sc_hd__dfrtp_1 _18346_ (.D(_02702_),
     .Q(\u_usb_host.u_core.u_sie.data_valid_q[2] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_14_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19299_ (.D(_02703_),
+ sky130_fd_sc_hd__dfrtp_1 _18347_ (.D(_02703_),
     .Q(\u_usb_host.u_core.u_sie.data_valid_q[3] ),
-    .RESET_B(net89),
-    .CLK(clknet_leaf_14_usb_clk),
+    .RESET_B(net96),
+    .CLK(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19300_ (.D(_02704_),
+ sky130_fd_sc_hd__dfrtp_4 _18348_ (.D(_02704_),
     .Q(\u_uart_core.u_rxfsm.rxstate[0] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19301_ (.D(_02705_),
+ sky130_fd_sc_hd__dfrtp_2 _18349_ (.D(_02705_),
     .Q(\u_uart_core.u_rxfsm.rxstate[1] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19302_ (.D(_02706_),
+ sky130_fd_sc_hd__dfrtp_4 _18350_ (.D(_02706_),
     .Q(\u_uart_core.u_rxfsm.rxstate[2] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19303_ (.D(_02707_),
+ sky130_fd_sc_hd__dfrtp_1 _18351_ (.D(_02707_),
     .Q(\u_uart_core.u_rxfsm.rxpos[0] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19304_ (.D(_02708_),
+ sky130_fd_sc_hd__dfrtp_1 _18352_ (.D(_02708_),
     .Q(\u_uart_core.u_rxfsm.rxpos[1] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19305_ (.D(_02709_),
+ sky130_fd_sc_hd__dfrtp_1 _18353_ (.D(_02709_),
     .Q(\u_uart_core.u_rxfsm.rxpos[2] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19306_ (.D(_02710_),
+ sky130_fd_sc_hd__dfrtp_1 _18354_ (.D(_02710_),
     .Q(\u_uart_core.u_rxfsm.rxpos[3] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
+    .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19307_ (.D(_02711_),
+ sky130_fd_sc_hd__dfrtp_2 _18355_ (.D(_02711_),
     .Q(\u_uart_core.u_rxfsm.cnt[0] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263905,7 +260190,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19308_ (.D(_02712_),
+ sky130_fd_sc_hd__dfrtp_2 _18356_ (.D(_02712_),
     .Q(\u_uart_core.u_rxfsm.cnt[1] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263913,23 +260198,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19309_ (.D(_02713_),
+ sky130_fd_sc_hd__dfrtp_1 _18357_ (.D(_02713_),
     .Q(\u_uart_core.u_rxfsm.cnt[2] ),
-    .RESET_B(\u_uart_core.line_reset_n ),
-    .CLK(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19310_ (.D(_02714_),
-    .Q(\u_uart_core.rx_fifo_wr ),
     .RESET_B(net86),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19311_ (.D(_02715_),
+ sky130_fd_sc_hd__dfrtp_4 _18358_ (.D(_02714_),
+    .Q(\u_uart_core.rx_fifo_wr ),
+    .RESET_B(\u_uart_core.line_reset_n ),
+    .CLK(\u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18359_ (.D(_02715_),
     .Q(\u_uart_core.rx_fifo_wr_data[0] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263937,7 +260222,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19312_ (.D(_02716_),
+ sky130_fd_sc_hd__dfrtp_4 _18360_ (.D(_02716_),
     .Q(\u_uart_core.rx_fifo_wr_data[1] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263945,7 +260230,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19313_ (.D(_02717_),
+ sky130_fd_sc_hd__dfrtp_4 _18361_ (.D(_02717_),
     .Q(\u_uart_core.rx_fifo_wr_data[2] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263953,7 +260238,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19314_ (.D(_02718_),
+ sky130_fd_sc_hd__dfrtp_4 _18362_ (.D(_02718_),
     .Q(\u_uart_core.rx_fifo_wr_data[3] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263961,7 +260246,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19315_ (.D(_02719_),
+ sky130_fd_sc_hd__dfrtp_4 _18363_ (.D(_02719_),
     .Q(\u_uart_core.rx_fifo_wr_data[4] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263969,7 +260254,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19316_ (.D(_02720_),
+ sky130_fd_sc_hd__dfrtp_4 _18364_ (.D(_02720_),
     .Q(\u_uart_core.rx_fifo_wr_data[5] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263977,7 +260262,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19317_ (.D(_02721_),
+ sky130_fd_sc_hd__dfrtp_4 _18365_ (.D(_02721_),
     .Q(\u_uart_core.rx_fifo_wr_data[6] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263985,7 +260270,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19318_ (.D(_02722_),
+ sky130_fd_sc_hd__dfrtp_4 _18366_ (.D(_02722_),
     .Q(\u_uart_core.rx_fifo_wr_data[7] ),
     .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
@@ -263993,926 +260278,926 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19319_ (.D(_02723_),
+ sky130_fd_sc_hd__dfrtp_1 _18367_ (.D(_02723_),
     .Q(\u_uart_core.rx_fifo_wr_full ),
-    .RESET_B(net86),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .CLK(\u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _19320_ (.D(_02724_),
+ sky130_fd_sc_hd__dfstp_2 _18368_ (.D(_02724_),
     .Q(\u_uart_core.app_rxfifo_empty ),
-    .SET_B(net87),
-    .CLK(clknet_leaf_28_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19321_ (.D(_02725_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[0] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19322_ (.D(_02726_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[1] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19323_ (.D(_02727_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[2] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19324_ (.D(_02728_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[3] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19325_ (.D(_02729_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[4] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19326_ (.D(_02730_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[5] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19327_ (.D(_02731_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[6] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19328_ (.D(_02732_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[7] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19329_ (.D(_02733_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[8] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19330_ (.D(_02734_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[9] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19331_ (.D(_02735_),
-    .Q(\u_uart_core.u_clk_ctl.high_count[10] ),
-    .RESET_B(\u_uart_core.app_reset_n ),
-    .CLK(clknet_leaf_33_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19332_ (.D(_02736_),
-    .Q(\u_uart_core.u_cfg.u_intr_bit2.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19333_ (.D(_02737_),
-    .Q(\u_uart_core.u_cfg.u_intr_bit1.data_out ),
-    .RESET_B(net87),
+    .SET_B(net94),
     .CLK(clknet_leaf_30_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19334_ (.D(_02738_),
+ sky130_fd_sc_hd__dfrtp_1 _18369_ (.D(_02725_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[0] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_26_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18370_ (.D(_02726_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[1] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_24_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18371_ (.D(_02727_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[2] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18372_ (.D(_02728_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[3] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18373_ (.D(_02729_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[4] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_25_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18374_ (.D(_02730_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[5] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18375_ (.D(_02731_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[6] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18376_ (.D(_02732_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[7] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18377_ (.D(_02733_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[8] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18378_ (.D(_02734_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[9] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_30_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18379_ (.D(_02735_),
+    .Q(\u_uart_core.u_clk_ctl.high_count[10] ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_26_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18380_ (.D(_02736_),
+    .Q(\u_uart_core.u_cfg.u_intr_bit2.data_out ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_29_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18381_ (.D(_02737_),
+    .Q(\u_uart_core.u_cfg.u_intr_bit1.data_out ),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_29_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18382_ (.D(_02738_),
     .Q(\u_uart_core.u_cfg.u_intr_bit0.data_out ),
-    .RESET_B(net87),
-    .CLK(clknet_leaf_27_app_clk),
+    .RESET_B(net94),
+    .CLK(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19335_ (.D(_02739_),
+ sky130_fd_sc_hd__dfrtp_1 _18383_ (.D(_02739_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19336_ (.D(_02740_),
+ sky130_fd_sc_hd__dfrtp_1 _18384_ (.D(_02740_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19337_ (.D(_02741_),
+ sky130_fd_sc_hd__dfrtp_1 _18385_ (.D(_02741_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
-    .RESET_B(net137),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18386_ (.D(_02742_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18387_ (.D(_02743_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18388_ (.D(_02744_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18389_ (.D(_02745_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18390_ (.D(_02746_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18391_ (.D(_02747_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18392_ (.D(_02748_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_16_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18393_ (.D(_02749_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18394_ (.D(_02750_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18395_ (.D(_02751_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18396_ (.D(_02752_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18397_ (.D(_02753_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18398_ (.D(_02754_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
+    .RESET_B(net5),
     .CLK(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19338_ (.D(_02742_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19339_ (.D(_02743_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19340_ (.D(_02744_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19341_ (.D(_02745_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19342_ (.D(_02746_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_18_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19343_ (.D(_02747_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19344_ (.D(_02748_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19345_ (.D(_02749_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19346_ (.D(_02750_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
-    .RESET_B(net137),
+ sky130_fd_sc_hd__dfrtp_1 _18399_ (.D(_02755_),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
+    .RESET_B(net5),
     .CLK(clknet_leaf_22_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19347_ (.D(_02751_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19348_ (.D(_02752_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19349_ (.D(_02753_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19350_ (.D(_02754_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_23_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19351_ (.D(_02755_),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_26_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19352_ (.D(_02756_),
+ sky130_fd_sc_hd__dfrtp_1 _18400_ (.D(_02756_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_28_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_24_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19353_ (.D(_02757_),
+ sky130_fd_sc_hd__dfrtp_1 _18401_ (.D(_02757_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19354_ (.D(_02758_),
+ sky130_fd_sc_hd__dfrtp_1 _18402_ (.D(_02758_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_25_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19355_ (.D(_02759_),
+ sky130_fd_sc_hd__dfrtp_1 _18403_ (.D(_02759_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_25_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_23_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19356_ (.D(_02760_),
+ sky130_fd_sc_hd__dfrtp_1 _18404_ (.D(_02760_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19357_ (.D(_02761_),
+ sky130_fd_sc_hd__dfrtp_1 _18405_ (.D(_02761_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_25_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19358_ (.D(_02762_),
+ sky130_fd_sc_hd__dfrtp_1 _18406_ (.D(_02762_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_25_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_23_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19359_ (.D(_02763_),
+ sky130_fd_sc_hd__dfrtp_1 _18407_ (.D(_02763_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_22_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19360_ (.D(_02764_),
+ sky130_fd_sc_hd__dfrtp_1 _18408_ (.D(_02764_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_26_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19361_ (.D(_02765_),
+ sky130_fd_sc_hd__dfrtp_1 _18409_ (.D(_02765_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19362_ (.D(_02766_),
+ sky130_fd_sc_hd__dfrtp_1 _18410_ (.D(_02766_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_25_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19363_ (.D(_02767_),
+ sky130_fd_sc_hd__dfrtp_1 _18411_ (.D(_02767_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19364_ (.D(_02768_),
+ sky130_fd_sc_hd__dfrtp_1 _18412_ (.D(_02768_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19365_ (.D(_02769_),
+ sky130_fd_sc_hd__dfrtp_1 _18413_ (.D(_02769_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19366_ (.D(_02770_),
+ sky130_fd_sc_hd__dfrtp_1 _18414_ (.D(_02770_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19367_ (.D(_02771_),
+ sky130_fd_sc_hd__dfrtp_1 _18415_ (.D(_02771_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19368_ (.D(_02772_),
+ sky130_fd_sc_hd__dfrtp_1 _18416_ (.D(_02772_),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sda_chk ),
-    .RESET_B(net194),
-    .CLK(clknet_leaf_24_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19369_ (.D(_02773_),
+ sky130_fd_sc_hd__dfstp_1 _18417_ (.D(_02773_),
     .Q(\u_i2cm.sda_padoen_o ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_24_app_clk),
+    .SET_B(net5),
+    .CLK(clknet_leaf_21_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19370_ (.D(_02774_),
+ sky130_fd_sc_hd__dfrtp_4 _18418_ (.D(net107),
     .Q(\u_usb_host.u_core.cfg_wr ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_24_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19371_ (.D(_02775_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_12_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19372_ (.D(_02776_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_8_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19373_ (.D(_02777_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_21_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19374_ (.D(_02778_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_22_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19375_ (.D(_02779_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .RESET_B(net88),
+    .RESET_B(net95),
     .CLK(clknet_leaf_27_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19376_ (.D(_02780_),
+ sky130_fd_sc_hd__dfrtp_2 _18419_ (.D(_02775_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_5_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18420_ (.D(_02776_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_7_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18421_ (.D(_02777_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_30_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18422_ (.D(_02778_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_22_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_2 _18423_ (.D(_02779_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_22_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18424_ (.D(_02780_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
-    .RESET_B(net88),
+    .RESET_B(net95),
     .CLK(clknet_leaf_22_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19377_ (.D(_02781_),
+ sky130_fd_sc_hd__dfrtp_1 _18425_ (.D(_02781_),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .RESET_B(net88),
+    .RESET_B(net95),
     .CLK(clknet_leaf_22_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19378_ (.D(_02782_),
+ sky130_fd_sc_hd__dfrtp_1 _18426_ (.D(_02782_),
     .Q(\u_i2cm.u_byte_ctrl.dcnt[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19379_ (.D(_02783_),
+ sky130_fd_sc_hd__dfrtp_1 _18427_ (.D(_02783_),
     .Q(\u_i2cm.u_byte_ctrl.dcnt[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19380_ (.D(_02784_),
+ sky130_fd_sc_hd__dfrtp_1 _18428_ (.D(_02784_),
     .Q(\u_i2cm.u_byte_ctrl.dcnt[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_20_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19381_ (.D(_02785_),
+ sky130_fd_sc_hd__dfrtp_1 _18429_ (.D(_02785_),
     .Q(\u_i2cm.irxack ),
-    .RESET_B(net137),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18430_ (.D(_02786_),
+    .Q(\u_i2cm.txr[0] ),
+    .RESET_B(net5),
     .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19382_ (.D(_02786_),
-    .Q(\u_i2cm.txr[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19383_ (.D(_02787_),
+ sky130_fd_sc_hd__dfrtp_1 _18431_ (.D(_02787_),
     .Q(\u_i2cm.txr[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19384_ (.D(_02788_),
+ sky130_fd_sc_hd__dfrtp_1 _18432_ (.D(_02788_),
     .Q(\u_i2cm.txr[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19385_ (.D(_02789_),
+ sky130_fd_sc_hd__dfrtp_1 _18433_ (.D(_02789_),
     .Q(\u_i2cm.txr[3] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19386_ (.D(_02790_),
+ sky130_fd_sc_hd__dfrtp_1 _18434_ (.D(_02790_),
     .Q(\u_i2cm.txr[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19387_ (.D(_02791_),
+ sky130_fd_sc_hd__dfrtp_1 _18435_ (.D(_02791_),
     .Q(\u_i2cm.txr[5] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19388_ (.D(_02792_),
+ sky130_fd_sc_hd__dfrtp_1 _18436_ (.D(_02792_),
     .Q(\u_i2cm.txr[6] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19389_ (.D(_02793_),
+ sky130_fd_sc_hd__dfrtp_1 _18437_ (.D(_02793_),
     .Q(\u_i2cm.txr[7] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19390_ (.D(_02794_),
+ sky130_fd_sc_hd__dfrtp_1 _18438_ (.D(_02794_),
     .Q(\u_i2cm.ctr[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19391_ (.D(_02795_),
+ sky130_fd_sc_hd__dfrtp_1 _18439_ (.D(_02795_),
     .Q(\u_i2cm.ctr[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19392_ (.D(_02796_),
+ sky130_fd_sc_hd__dfrtp_1 _18440_ (.D(_02796_),
     .Q(\u_i2cm.ctr[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19393_ (.D(_02797_),
+ sky130_fd_sc_hd__dfrtp_1 _18441_ (.D(_02797_),
     .Q(\u_i2cm.ctr[3] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19394_ (.D(_02798_),
+ sky130_fd_sc_hd__dfrtp_1 _18442_ (.D(_02798_),
     .Q(\u_i2cm.ctr[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19395_ (.D(_02799_),
+ sky130_fd_sc_hd__dfrtp_1 _18443_ (.D(_02799_),
     .Q(\u_i2cm.ctr[5] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19396_ (.D(_02800_),
+ sky130_fd_sc_hd__dfrtp_1 _18444_ (.D(_02800_),
     .Q(\u_i2cm.ctr[6] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19397_ (.D(_02801_),
+ sky130_fd_sc_hd__dfrtp_4 _18445_ (.D(_02801_),
     .Q(\u_i2cm.core_en ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19398_ (.D(_02802_),
+ sky130_fd_sc_hd__dfstp_1 _18446_ (.D(_02802_),
     .Q(\u_i2cm.prer[0] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19399_ (.D(_02803_),
-    .Q(\u_i2cm.prer[1] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _19400_ (.D(_02804_),
-    .Q(\u_i2cm.prer[2] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19401_ (.D(_02805_),
-    .Q(\u_i2cm.prer[3] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19402_ (.D(_02806_),
-    .Q(\u_i2cm.prer[4] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19403_ (.D(_02807_),
-    .Q(\u_i2cm.prer[5] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _19404_ (.D(_02808_),
-    .Q(\u_i2cm.prer[6] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19405_ (.D(_02809_),
-    .Q(\u_i2cm.prer[7] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19406_ (.D(_02810_),
-    .Q(\u_i2cm.prer[8] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19407_ (.D(_02811_),
-    .Q(\u_i2cm.prer[9] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19408_ (.D(_02812_),
-    .Q(\u_i2cm.prer[10] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_19_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _19409_ (.D(_02813_),
-    .Q(\u_i2cm.prer[11] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19410_ (.D(_02814_),
-    .Q(\u_i2cm.prer[12] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19411_ (.D(_02815_),
-    .Q(\u_i2cm.prer[13] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19412_ (.D(_02816_),
-    .Q(\u_i2cm.prer[14] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19413_ (.D(_02817_),
-    .Q(\u_i2cm.prer[15] ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19414_ (.D(_02818_),
-    .Q(\u_i2cm.ack ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_29_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19415_ (.D(_02819_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_29_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19416_ (.D(_02820_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_26_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _19417_ (.D(_02821_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19418_ (.D(_02822_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19419_ (.D(_02823_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19420_ (.D(_02824_),
-    .Q(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_17_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19421_ (.D(_02825_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_12_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19422_ (.D(_02826_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
-    .RESET_B(net88),
-    .CLK(clknet_leaf_12_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19423_ (.D(_02827_),
-    .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .RESET_B(net88),
+    .SET_B(net5),
     .CLK(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_1 _19424_ (.D(_02828_),
+ sky130_fd_sc_hd__dfstp_1 _18447_ (.D(_02803_),
+    .Q(\u_i2cm.prer[1] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18448_ (.D(_02804_),
+    .Q(\u_i2cm.prer[2] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18449_ (.D(_02805_),
+    .Q(\u_i2cm.prer[3] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18450_ (.D(_02806_),
+    .Q(\u_i2cm.prer[4] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18451_ (.D(_02807_),
+    .Q(\u_i2cm.prer[5] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18452_ (.D(_02808_),
+    .Q(\u_i2cm.prer[6] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18453_ (.D(_02809_),
+    .Q(\u_i2cm.prer[7] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_17_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18454_ (.D(_02810_),
+    .Q(\u_i2cm.prer[8] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_14_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18455_ (.D(_02811_),
+    .Q(\u_i2cm.prer[9] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18456_ (.D(_02812_),
+    .Q(\u_i2cm.prer[10] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18457_ (.D(_02813_),
+    .Q(\u_i2cm.prer[11] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_3_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18458_ (.D(_02814_),
+    .Q(\u_i2cm.prer[12] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18459_ (.D(_02815_),
+    .Q(\u_i2cm.prer[13] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18460_ (.D(_02816_),
+    .Q(\u_i2cm.prer[14] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_2 _18461_ (.D(_02817_),
+    .Q(\u_i2cm.prer[15] ),
+    .SET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18462_ (.D(_02818_),
+    .Q(\u_i2cm.ack ),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18463_ (.D(_02819_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_30_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18464_ (.D(_02820_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_22_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _18465_ (.D(_02821_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18466_ (.D(_02822_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18467_ (.D(_02823_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18468_ (.D(_02824_),
+    .Q(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18469_ (.D(_02825_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18470_ (.D(_02826_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_4_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_1 _18471_ (.D(_02827_),
+    .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .RESET_B(net95),
+    .CLK(clknet_leaf_8_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_1 _18472_ (.D(_02828_),
     .Q(\u_i2cm.scl_padoen_o ),
-    .SET_B(net137),
-    .CLK(clknet_leaf_24_app_clk),
+    .SET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19425_ (.D(_02829_),
+ sky130_fd_sc_hd__dfrtp_1 _18473_ (.D(_02829_),
     .Q(\u_i2cm.rxr[0] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19426_ (.D(_02830_),
+ sky130_fd_sc_hd__dfrtp_1 _18474_ (.D(_02830_),
     .Q(\u_i2cm.rxr[1] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_22_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19427_ (.D(_02831_),
+ sky130_fd_sc_hd__dfrtp_1 _18475_ (.D(_02831_),
     .Q(\u_i2cm.rxr[2] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19428_ (.D(_02832_),
+ sky130_fd_sc_hd__dfrtp_1 _18476_ (.D(_02832_),
     .Q(\u_i2cm.rxr[3] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_20_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_28_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19429_ (.D(_02833_),
+ sky130_fd_sc_hd__dfrtp_1 _18477_ (.D(_02833_),
     .Q(\u_i2cm.rxr[4] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19430_ (.D(_02834_),
+ sky130_fd_sc_hd__dfrtp_1 _18478_ (.D(_02834_),
     .Q(\u_i2cm.rxr[5] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _19431_ (.D(_02835_),
+ sky130_fd_sc_hd__dfrtp_1 _18479_ (.D(_02835_),
     .Q(\u_i2cm.rxr[6] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _19432_ (.D(_02836_),
+ sky130_fd_sc_hd__dfrtp_1 _18480_ (.D(_02836_),
     .Q(\u_i2cm.rxr[7] ),
-    .RESET_B(net137),
-    .CLK(clknet_leaf_21_app_clk),
+    .RESET_B(net5),
+    .CLK(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlxtn_1 _19433_ (.D(_00171_),
+ sky130_fd_sc_hd__dlxtn_1 _18481_ (.D(_00171_),
     .Q(\u_usb_host.out_dp ),
     .GATE_N(_00172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlxtn_1 _19434_ (.D(_00170_),
+ sky130_fd_sc_hd__dlxtn_1 _18482_ (.D(_00170_),
     .Q(\u_usb_host.out_dn ),
     .GATE_N(_00172_),
     .VGND(vssd1),
@@ -264931,253 +261216,253 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_0_0_app_clk (.A(clknet_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_app_clk (.A(clknet_0_app_clk),
     .X(clknet_1_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_0_0_usb_clk (.A(clknet_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_usb_clk (.A(clknet_0_usb_clk),
     .X(clknet_1_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_0_1_usb_clk (.A(clknet_1_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_1_usb_clk (.A(clknet_1_0_0_usb_clk),
     .X(clknet_1_0_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_0_app_clk (.A(clknet_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_app_clk (.A(clknet_0_app_clk),
     .X(clknet_1_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_0_usb_clk (.A(clknet_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_usb_clk (.A(clknet_0_usb_clk),
     .X(clknet_1_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_1_usb_clk (.A(clknet_1_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_1_usb_clk (.A(clknet_1_1_0_usb_clk),
     .X(clknet_1_1_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_0_0_app_clk (.A(clknet_1_0_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_0_0_app_clk (.A(clknet_1_0_0_app_clk),
     .X(clknet_2_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_0_0_usb_clk (.A(clknet_1_0_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_0_0_usb_clk (.A(clknet_1_0_1_usb_clk),
     .X(clknet_2_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_0_1_usb_clk (.A(clknet_2_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_0_1_usb_clk (.A(clknet_2_0_0_usb_clk),
     .X(clknet_2_0_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_1_0_app_clk (.A(clknet_1_0_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_1_0_app_clk (.A(clknet_1_0_0_app_clk),
     .X(clknet_2_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_1_0_usb_clk (.A(clknet_1_0_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_1_0_usb_clk (.A(clknet_1_0_1_usb_clk),
     .X(clknet_2_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_1_1_usb_clk (.A(clknet_2_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_1_1_usb_clk (.A(clknet_2_1_0_usb_clk),
     .X(clknet_2_1_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_2_0_app_clk (.A(clknet_1_1_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_2_0_app_clk (.A(clknet_1_1_0_app_clk),
     .X(clknet_2_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_2_0_usb_clk (.A(clknet_1_1_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_2_0_usb_clk (.A(clknet_1_1_1_usb_clk),
     .X(clknet_2_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_2_1_usb_clk (.A(clknet_2_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_2_1_usb_clk (.A(clknet_2_2_0_usb_clk),
     .X(clknet_2_2_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_3_0_app_clk (.A(clknet_1_1_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_3_0_app_clk (.A(clknet_1_1_0_app_clk),
     .X(clknet_2_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_3_0_usb_clk (.A(clknet_1_1_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_3_0_usb_clk (.A(clknet_1_1_1_usb_clk),
     .X(clknet_2_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_3_1_usb_clk (.A(clknet_2_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_3_1_usb_clk (.A(clknet_2_3_0_usb_clk),
     .X(clknet_2_3_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_0_0_usb_clk (.A(clknet_2_0_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_0_0_usb_clk (.A(clknet_2_0_1_usb_clk),
     .X(clknet_3_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_1_0_usb_clk (.A(clknet_2_0_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_1_0_usb_clk (.A(clknet_2_0_1_usb_clk),
     .X(clknet_3_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_2_0_usb_clk (.A(clknet_2_1_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_2_0_usb_clk (.A(clknet_2_1_1_usb_clk),
     .X(clknet_3_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_3_0_usb_clk (.A(clknet_2_1_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_3_0_usb_clk (.A(clknet_2_1_1_usb_clk),
     .X(clknet_3_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_4_0_usb_clk (.A(clknet_2_2_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_4_0_usb_clk (.A(clknet_2_2_1_usb_clk),
     .X(clknet_3_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_5_0_usb_clk (.A(clknet_2_2_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_5_0_usb_clk (.A(clknet_2_2_1_usb_clk),
     .X(clknet_3_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_6_0_usb_clk (.A(clknet_2_3_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_6_0_usb_clk (.A(clknet_2_3_1_usb_clk),
     .X(clknet_3_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_7_0_usb_clk (.A(clknet_2_3_1_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_7_0_usb_clk (.A(clknet_2_3_1_usb_clk),
     .X(clknet_3_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_0_0_usb_clk (.A(clknet_3_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_0_0_usb_clk (.A(clknet_3_0_0_usb_clk),
     .X(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_10_0_usb_clk (.A(clknet_3_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_10_0_usb_clk (.A(clknet_3_5_0_usb_clk),
     .X(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_11_0_usb_clk (.A(clknet_3_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_11_0_usb_clk (.A(clknet_3_5_0_usb_clk),
     .X(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_12_0_usb_clk (.A(clknet_3_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_12_0_usb_clk (.A(clknet_3_6_0_usb_clk),
     .X(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_13_0_usb_clk (.A(clknet_3_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_13_0_usb_clk (.A(clknet_3_6_0_usb_clk),
     .X(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_14_0_usb_clk (.A(clknet_3_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_14_0_usb_clk (.A(clknet_3_7_0_usb_clk),
     .X(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_15_0_usb_clk (.A(clknet_3_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_15_0_usb_clk (.A(clknet_3_7_0_usb_clk),
     .X(clknet_4_15_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_1_0_usb_clk (.A(clknet_3_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_1_0_usb_clk (.A(clknet_3_0_0_usb_clk),
     .X(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_2_0_usb_clk (.A(clknet_3_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_2_0_usb_clk (.A(clknet_3_1_0_usb_clk),
     .X(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_3_0_usb_clk (.A(clknet_3_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_3_0_usb_clk (.A(clknet_3_1_0_usb_clk),
     .X(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_4_0_usb_clk (.A(clknet_3_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_4_0_usb_clk (.A(clknet_3_2_0_usb_clk),
     .X(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_5_0_usb_clk (.A(clknet_3_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_5_0_usb_clk (.A(clknet_3_2_0_usb_clk),
     .X(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_6_0_usb_clk (.A(clknet_3_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_6_0_usb_clk (.A(clknet_3_3_0_usb_clk),
     .X(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_7_0_usb_clk (.A(clknet_3_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_7_0_usb_clk (.A(clknet_3_3_0_usb_clk),
     .X(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_8_0_usb_clk (.A(clknet_3_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_8_0_usb_clk (.A(clknet_3_4_0_usb_clk),
     .X(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_4_9_0_usb_clk (.A(clknet_3_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_4_9_0_usb_clk (.A(clknet_3_4_0_usb_clk),
     .X(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265189,7 +261474,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_0_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_0_usb_clk (.A(clknet_4_1_0_usb_clk),
     .X(clknet_leaf_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265225,25 +261510,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_105_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_105_usb_clk (.A(clknet_4_15_0_usb_clk),
     .X(clknet_leaf_105_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_106_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_106_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_106_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_108_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_107_usb_clk (.A(clknet_4_14_0_usb_clk),
+    .X(clknet_leaf_107_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_108_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_108_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_109_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_109_usb_clk (.A(clknet_4_15_0_usb_clk),
     .X(clknet_leaf_109_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265255,67 +261546,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_10_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_10_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_10_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_110_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_110_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_110_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_111_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_111_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_111_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_112_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_112_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_112_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_113_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_113_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_113_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_114_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_114_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_114_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_115_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_115_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_115_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_116_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_116_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_116_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_117_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_117_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_117_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_118_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_118_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_118_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_119_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_119_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_119_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265327,67 +261618,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_11_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_11_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_11_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_120_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_120_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_120_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_121_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_121_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_121_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_122_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_122_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_122_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_123_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_123_usb_clk (.A(clknet_4_14_0_usb_clk),
     .X(clknet_leaf_123_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_124_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_124_usb_clk (.A(clknet_4_12_0_usb_clk),
     .X(clknet_leaf_124_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_125_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_125_usb_clk (.A(clknet_4_12_0_usb_clk),
     .X(clknet_leaf_125_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_126_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_126_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_126_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_127_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_127_usb_clk (.A(clknet_opt_7_0_usb_clk),
     .X(clknet_leaf_127_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_128_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_128_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_128_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_129_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_129_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_129_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265399,67 +261690,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_12_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_12_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_12_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_130_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_130_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_130_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_131_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_131_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_131_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_132_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_132_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_132_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_133_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_133_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_133_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_134_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_134_usb_clk (.A(clknet_4_10_0_usb_clk),
     .X(clknet_leaf_134_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_135_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_135_usb_clk (.A(clknet_4_10_0_usb_clk),
     .X(clknet_leaf_135_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_136_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_136_usb_clk (.A(clknet_4_10_0_usb_clk),
     .X(clknet_leaf_136_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_137_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_137_usb_clk (.A(clknet_4_10_0_usb_clk),
     .X(clknet_leaf_137_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_138_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_138_usb_clk (.A(clknet_4_10_0_usb_clk),
     .X(clknet_leaf_138_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_139_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_139_usb_clk (.A(clknet_4_10_0_usb_clk),
     .X(clknet_leaf_139_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265471,211 +261762,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_13_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_13_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_13_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_140_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_140_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_140_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_141_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_141_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_141_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_142_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_142_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_142_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_143_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_143_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_143_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_144_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_144_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_144_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_145_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_145_usb_clk (.A(clknet_opt_6_0_usb_clk),
     .X(clknet_leaf_145_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_146_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_146_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_146_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_147_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_147_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_147_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_148_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_148_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_148_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_149_usb_clk (.A(clknet_opt_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_149_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_149_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_14_app_clk (.A(clknet_2_2_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_14_app_clk (.A(clknet_2_3_0_app_clk),
     .X(clknet_leaf_14_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_14_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_14_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_14_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_150_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_150_usb_clk (.A(clknet_opt_4_0_usb_clk),
     .X(clknet_leaf_150_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_151_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_151_usb_clk (.A(clknet_opt_5_0_usb_clk),
     .X(clknet_leaf_151_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_152_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_152_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_152_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_153_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_153_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_153_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_154_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_154_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_154_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_155_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_155_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_155_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_156_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_156_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_156_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_157_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_157_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_157_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_158_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_158_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_158_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_159_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_159_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_159_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_15_app_clk (.A(clknet_2_3_0_app_clk),
-    .X(clknet_leaf_15_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_15_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_15_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_15_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_160_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_160_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_160_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_161_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_161_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_161_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_162_usb_clk (.A(clknet_4_6_0_usb_clk),
-    .X(clknet_leaf_162_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_163_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_163_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_163_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_164_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_164_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_164_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_165_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_165_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_leaf_165_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_166_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_166_usb_clk (.A(clknet_4_9_0_usb_clk),
     .X(clknet_leaf_166_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_167_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_167_usb_clk (.A(clknet_4_10_0_usb_clk),
     .X(clknet_leaf_167_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_168_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_168_usb_clk (.A(clknet_4_10_0_usb_clk),
     .X(clknet_leaf_168_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_169_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_169_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_leaf_169_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265687,31 +261966,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_16_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_16_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_16_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_170_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_170_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_leaf_170_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_171_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_171_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_leaf_171_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_172_usb_clk (.A(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_172_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_172_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_173_usb_clk (.A(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_173_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_173_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265735,115 +262014,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_177_usb_clk (.A(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_177_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_177_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_178_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_178_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_178_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_179_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_179_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_179_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_17_app_clk (.A(clknet_2_2_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_17_app_clk (.A(clknet_2_3_0_app_clk),
     .X(clknet_leaf_17_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_17_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_17_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_17_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_180_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_180_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_180_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_181_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_181_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_181_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_182_usb_clk (.A(clknet_opt_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_182_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_182_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_183_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_183_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_183_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_184_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_184_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_184_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_185_usb_clk (.A(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_185_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_185_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_186_usb_clk (.A(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_186_usb_clk (.A(clknet_opt_1_0_usb_clk),
     .X(clknet_leaf_186_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_187_usb_clk (.A(clknet_4_2_0_usb_clk),
+    .X(clknet_leaf_187_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_188_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_leaf_188_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_18_app_clk (.A(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_189_usb_clk (.A(clknet_4_0_0_usb_clk),
+    .X(clknet_leaf_189_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_18_app_clk (.A(clknet_2_1_0_app_clk),
     .X(clknet_leaf_18_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_18_usb_clk (.A(clknet_4_8_0_usb_clk),
-    .X(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_192_usb_clk (.A(clknet_4_1_0_usb_clk),
+    .X(clknet_leaf_192_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_19_app_clk (.A(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_194_usb_clk (.A(clknet_4_0_0_usb_clk),
+    .X(clknet_leaf_194_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_195_usb_clk (.A(clknet_4_0_0_usb_clk),
+    .X(clknet_leaf_195_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_196_usb_clk (.A(clknet_4_0_0_usb_clk),
+    .X(clknet_leaf_196_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_19_app_clk (.A(clknet_2_1_0_app_clk),
     .X(clknet_leaf_19_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_19_usb_clk (.A(clknet_4_8_0_usb_clk),
-    .X(clknet_leaf_19_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_1_app_clk (.A(clknet_2_0_0_app_clk),
     .X(clknet_leaf_1_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_1_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_1_usb_clk (.A(clknet_4_1_0_usb_clk),
     .X(clknet_leaf_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265855,7 +262158,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_20_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_20_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_20_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265867,7 +262170,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_21_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_21_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_21_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265879,7 +262182,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_22_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_22_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_22_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265891,7 +262194,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_23_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_23_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_23_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265903,7 +262206,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_24_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_24_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_24_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265915,7 +262218,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_25_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_25_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_25_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265927,19 +262230,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_26_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_26_usb_clk (.A(clknet_4_4_0_usb_clk),
     .X(clknet_leaf_26_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_27_app_clk (.A(clknet_2_0_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_27_app_clk (.A(clknet_2_1_0_app_clk),
     .X(clknet_leaf_27_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_27_usb_clk (.A(clknet_opt_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_27_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_27_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265951,19 +262254,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_28_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_28_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_28_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_29_app_clk (.A(clknet_2_1_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_29_app_clk (.A(clknet_2_0_0_app_clk),
     .X(clknet_leaf_29_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_29_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_29_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_29_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265975,7 +262278,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_2_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_2_usb_clk (.A(clknet_4_1_0_usb_clk),
     .X(clknet_leaf_2_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265987,7 +262290,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_30_usb_clk (.A(clknet_opt_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_30_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_30_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -265999,7 +262302,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_31_usb_clk (.A(clknet_opt_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_31_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_31_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266011,7 +262314,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_32_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_32_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_32_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266023,115 +262326,121 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_33_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_33_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_33_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_app_clk (.A(clknet_2_0_0_app_clk),
+    .X(clknet_leaf_34_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_34_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_35_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_35_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_35_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_36_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_36_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_36_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_37_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_37_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_37_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_38_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_38_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_38_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_39_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_39_usb_clk (.A(clknet_4_5_0_usb_clk),
     .X(clknet_leaf_39_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_3_app_clk (.A(clknet_2_0_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_3_app_clk (.A(clknet_2_3_0_app_clk),
     .X(clknet_leaf_3_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_3_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_3_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_leaf_3_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_40_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_40_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_40_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_41_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_41_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_41_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_42_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_42_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_42_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_43_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_43_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_43_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_44_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_44_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_44_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_45_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_45_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_45_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_46_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_46_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_46_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_47_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_47_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_47_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_48_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_48_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_48_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_49_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_49_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_49_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266143,67 +262452,73 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_4_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_4_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_leaf_4_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_50_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_50_usb_clk (.A(clknet_4_7_0_usb_clk),
     .X(clknet_leaf_50_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_51_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_51_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_51_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_52_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_52_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_52_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_54_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_53_usb_clk (.A(clknet_4_6_0_usb_clk),
+    .X(clknet_leaf_53_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_54_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_54_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_55_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_55_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_55_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_56_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_56_usb_clk (.A(clknet_4_6_0_usb_clk),
     .X(clknet_leaf_56_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_57_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_57_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_leaf_57_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_58_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_58_usb_clk (.A(clknet_opt_3_0_usb_clk),
     .X(clknet_leaf_58_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_59_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_59_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_leaf_59_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_5_app_clk (.A(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_5_app_clk (.A(clknet_2_2_0_app_clk),
     .X(clknet_leaf_5_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266215,19 +262530,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_60_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_60_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_60_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_61_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_61_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_leaf_61_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_usb_clk (.A(clknet_4_12_0_usb_clk),
     .X(clknet_leaf_62_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266245,7 +262560,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_65_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_65_usb_clk (.A(clknet_4_12_0_usb_clk),
     .X(clknet_leaf_65_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266281,115 +262596,121 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_6_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_6_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_leaf_6_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_70_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_70_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_70_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_71_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_71_usb_clk (.A(clknet_4_12_0_usb_clk),
     .X(clknet_leaf_71_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_72_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_72_usb_clk (.A(clknet_4_12_0_usb_clk),
     .X(clknet_leaf_72_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_73_usb_clk (.A(clknet_opt_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_73_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_73_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_74_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_74_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_74_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_75_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_75_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_75_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_77_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_76_usb_clk (.A(clknet_4_13_0_usb_clk),
+    .X(clknet_leaf_76_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_77_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_77_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_78_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_78_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_78_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_79_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_79_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_79_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_7_app_clk (.A(clknet_2_3_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_7_app_clk (.A(clknet_2_2_0_app_clk),
     .X(clknet_leaf_7_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_7_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_7_usb_clk (.A(clknet_opt_2_0_usb_clk),
     .X(clknet_leaf_7_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_80_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_80_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_80_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_81_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_81_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_81_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_82_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_82_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_82_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_83_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_83_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_83_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_84_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_84_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_84_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_85_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_85_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_85_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_86_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_86_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_86_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266401,13 +262722,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_88_usb_clk (.A(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_88_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_88_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_89_usb_clk (.A(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_89_usb_clk (.A(clknet_4_13_0_usb_clk),
     .X(clknet_leaf_89_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266419,7 +262740,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_8_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_8_usb_clk (.A(clknet_4_1_0_usb_clk),
     .X(clknet_leaf_8_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -266491,506 +262812,254 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_9_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_9_usb_clk (.A(clknet_4_1_0_usb_clk),
     .X(clknet_leaf_9_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_0_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_0_usb_clk (.A(clknet_4_2_0_usb_clk),
     .X(clknet_opt_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_2_0_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_2_0_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_opt_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_3_0_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_3_0_usb_clk (.A(clknet_4_3_0_usb_clk),
     .X(clknet_opt_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_4_0_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_4_0_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_opt_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_5_0_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_5_0_usb_clk (.A(clknet_4_8_0_usb_clk),
     .X(clknet_opt_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_6_0_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_6_0_usb_clk (.A(clknet_4_11_0_usb_clk),
     .X(clknet_opt_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_7_0_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_7_0_usb_clk (.A(clknet_4_12_0_usb_clk),
     .X(clknet_opt_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 hold100 (.A(_00693_),
-    .X(net190),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold1 (.A(net125),
+    .X(net98),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold101 (.A(\u_usb_host.u_core.status_crc_err_w ),
-    .X(net191),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold10 (.A(_02774_),
+    .X(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold102 (.A(\u_i2cm.scl_pad_i ),
-    .X(net192),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold11 (.A(_00711_),
+    .X(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 hold103 (.A(_00698_),
-    .X(net193),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold12 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
+    .X(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 hold104 (.A(net137),
-    .X(net194),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold13 (.A(_00716_),
+    .X(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s4s_1 hold105 (.A(net5),
-    .X(net195),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold14 (.A(_00713_),
+    .X(net111),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 hold106 (.A(_00694_),
-    .X(net209),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold15 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
+    .X(net112),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold107 (.A(\u_i2cm.sda_pad_i ),
-    .X(net210),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold16 (.A(_00709_),
+    .X(net113),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold32 (.A(usb_rstn),
-    .X(net123),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold17 (.A(_00710_),
+    .X(net114),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold33 (.A(net123),
-    .X(net124),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold18 (.A(_00712_),
+    .X(net115),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold34 (.A(net124),
-    .X(net125),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold19 (.A(_00707_),
+    .X(net116),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold35 (.A(net125),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold2 (.A(net121),
+    .X(net99),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold20 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
+    .X(net117),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold21 (.A(_00706_),
+    .X(net118),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold22 (.A(_00806_),
+    .X(net119),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold23 (.A(net99),
+    .X(net120),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold24 (.A(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ),
+    .X(net121),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold25 (.A(net100),
     .X(net122),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold36 (.A(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .X(net127),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold26 (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+    .X(net123),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold37 (.A(net127),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold27 (.A(net98),
+    .X(net124),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold28 (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+    .X(net125),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold29 (.A(net101),
     .X(net126),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold38 (.A(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .X(net129),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold3 (.A(net123),
+    .X(net100),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold39 (.A(net129),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold30 (.A(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ),
+    .X(net127),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold31 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
     .X(net128),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold40 (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
-    .X(net131),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold32 (.A(_00708_),
+    .X(net129),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold41 (.A(net131),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold33 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
     .X(net130),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold42 (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .X(net133),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold34 (.A(_00698_),
+    .X(net131),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold43 (.A(net133),
-    .X(net132),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold4 (.A(net127),
+    .X(net101),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(net45),
-    .X(net135),
+ sky130_fd_sc_hd__clkbuf_1 hold5 (.A(_00715_),
+    .X(net102),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 hold45 (.A(net135),
-    .X(net134),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold6 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
+    .X(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold46 (.A(uart_rstn),
-    .X(net136),
+ sky130_fd_sc_hd__clkbuf_16 hold7 (.A(net46),
+    .X(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 hold47 (.A(net195),
-    .X(net137),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold8 (.A(usb_rstn),
+    .X(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold48 (.A(i2c_rstn),
-    .X(net138),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(\u_usb_host.in_dn ),
-    .X(net140),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold50 (.A(net140),
-    .X(net139),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold51 (.A(_00715_),
-    .X(net142),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold52 (.A(net142),
-    .X(net141),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold53 (.A(_00716_),
-    .X(net144),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold54 (.A(net144),
-    .X(net143),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold55 (.A(\u_usb_host.in_dp ),
-    .X(net146),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold56 (.A(net146),
-    .X(net145),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold57 (.A(_00712_),
-    .X(net148),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold58 (.A(net148),
-    .X(net147),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold59 (.A(_00714_),
-    .X(net150),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold60 (.A(net150),
-    .X(net149),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 hold61 (.A(_00713_),
-    .X(net151),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold62 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
-    .X(net152),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold63 (.A(_02601_),
-    .X(net153),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 hold64 (.A(_00711_),
-    .X(net154),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold65 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
-    .X(net155),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold66 (.A(_02599_),
-    .X(net156),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 hold67 (.A(_00710_),
-    .X(net157),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold68 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
-    .X(net158),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold69 (.A(_02598_),
-    .X(net159),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold70 (.A(_00709_),
-    .X(net160),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold71 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
-    .X(net161),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold72 (.A(_02597_),
-    .X(net162),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold73 (.A(_00708_),
-    .X(net163),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold74 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
-    .X(net164),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold75 (.A(_02596_),
-    .X(net165),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 hold76 (.A(_00696_),
-    .X(net166),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold77 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
-    .X(net167),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold78 (.A(_02584_),
-    .X(net168),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold79 (.A(_02577_),
-    .X(net169),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold80 (.A(_06226_),
-    .X(net170),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold81 (.A(_06229_),
-    .X(net171),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold82 (.A(_06232_),
-    .X(net172),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold83 (.A(_06228_),
-    .X(net173),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold84 (.A(_06224_),
-    .X(net174),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold85 (.A(_06231_),
-    .X(net175),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold86 (.A(_06221_),
-    .X(net176),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 hold87 (.A(_00695_),
-    .X(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold88 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
-    .X(net178),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold89 (.A(_02583_),
-    .X(net179),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold90 (.A(_06211_),
-    .X(net180),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold91 (.A(_02563_),
-    .X(net181),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold92 (.A(_05597_),
-    .X(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold93 (.A(_05596_),
-    .X(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold94 (.A(_00236_),
-    .X(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold95 (.A(_02657_),
-    .X(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold96 (.A(_02659_),
-    .X(net186),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold97 (.A(_02658_),
-    .X(net187),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold98 (.A(_02660_),
-    .X(net188),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold99 (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
-    .X(net189),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold9 (.A(_00714_),
+    .X(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -267001,49 +263070,49 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input10 (.A(reg_addr[2]),
+ sky130_fd_sc_hd__buf_8 input10 (.A(reg_addr[2]),
     .X(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input11 (.A(reg_addr[3]),
+ sky130_fd_sc_hd__buf_12 input11 (.A(reg_addr[3]),
     .X(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input12 (.A(reg_be),
+ sky130_fd_sc_hd__clkbuf_4 input12 (.A(reg_be[0]),
     .X(net12),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input13 (.A(reg_cs),
+ sky130_fd_sc_hd__buf_4 input13 (.A(reg_cs),
     .X(net13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input14 (.A(reg_wdata[0]),
+ sky130_fd_sc_hd__buf_12 input14 (.A(reg_wdata[0]),
     .X(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input15 (.A(reg_wdata[10]),
+ sky130_fd_sc_hd__clkbuf_2 input15 (.A(reg_wdata[10]),
     .X(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input16 (.A(reg_wdata[11]),
+ sky130_fd_sc_hd__clkbuf_2 input16 (.A(reg_wdata[11]),
     .X(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input17 (.A(reg_wdata[12]),
+ sky130_fd_sc_hd__clkbuf_2 input17 (.A(reg_wdata[12]),
     .X(net17),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267067,7 +263136,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input20 (.A(reg_wdata[15]),
+ sky130_fd_sc_hd__buf_2 input20 (.A(reg_wdata[15]),
     .X(net20),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267085,7 +263154,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input23 (.A(reg_wdata[18]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input23 (.A(reg_wdata[18]),
     .X(net23),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267097,13 +263166,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input25 (.A(reg_wdata[1]),
+ sky130_fd_sc_hd__buf_12 input25 (.A(reg_wdata[1]),
     .X(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input26 (.A(reg_wdata[20]),
+ sky130_fd_sc_hd__clkbuf_2 input26 (.A(reg_wdata[20]),
     .X(net26),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267127,7 +263196,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input3 (.A(cfg_cska_uart[2]),
+ sky130_fd_sc_hd__clkbuf_1 input3 (.A(cfg_cska_uart[2]),
     .X(net3),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267145,7 +263214,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input32 (.A(reg_wdata[2]),
+ sky130_fd_sc_hd__buf_12 input32 (.A(reg_wdata[2]),
     .X(net32),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267163,31 +263232,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input35 (.A(reg_wdata[3]),
+ sky130_fd_sc_hd__buf_12 input35 (.A(reg_wdata[3]),
     .X(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input36 (.A(reg_wdata[4]),
+ sky130_fd_sc_hd__buf_12 input36 (.A(reg_wdata[4]),
     .X(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input37 (.A(reg_wdata[5]),
+ sky130_fd_sc_hd__buf_12 input37 (.A(reg_wdata[5]),
     .X(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input38 (.A(reg_wdata[6]),
+ sky130_fd_sc_hd__buf_12 input38 (.A(reg_wdata[6]),
     .X(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input39 (.A(reg_wdata[7]),
+ sky130_fd_sc_hd__buf_12 input39 (.A(reg_wdata[7]),
     .X(net39),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267211,31 +263280,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input42 (.A(reg_wr),
+ sky130_fd_sc_hd__buf_12 input42 (.A(reg_wr),
     .X(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input43 (.A(uart_i2c_usb_sel[0]),
+ sky130_fd_sc_hd__buf_4 input43 (.A(uart_i2c_usb_sel[0]),
     .X(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input44 (.A(uart_i2c_usb_sel[1]),
+ sky130_fd_sc_hd__buf_4 input44 (.A(uart_i2c_usb_sel[1]),
     .X(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input45 (.A(net136),
+ sky130_fd_sc_hd__clkbuf_4 input45 (.A(uart_rstn),
     .X(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input46 (.A(net122),
+ sky130_fd_sc_hd__clkbuf_16 input46 (.A(net105),
     .X(net46),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267247,55 +263316,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input5 (.A(net138),
+ sky130_fd_sc_hd__clkbuf_16 input5 (.A(i2c_rstn),
     .X(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input6 (.A(io_in[0]),
+ sky130_fd_sc_hd__buf_4 input6 (.A(io_in[0]),
     .X(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input7 (.A(io_in[1]),
+ sky130_fd_sc_hd__buf_4 input7 (.A(io_in[1]),
     .X(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input8 (.A(reg_addr[0]),
+ sky130_fd_sc_hd__buf_8 input8 (.A(reg_addr[0]),
     .X(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input9 (.A(reg_addr[1]),
+ sky130_fd_sc_hd__buf_6 input9 (.A(reg_addr[1]),
     .X(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output48 (.A(net48),
+ sky130_fd_sc_hd__buf_2 output48 (.A(net48),
     .X(io_oeb[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output49 (.A(net49),
+ sky130_fd_sc_hd__buf_2 output49 (.A(net49),
     .X(io_oeb[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output50 (.A(net50),
+ sky130_fd_sc_hd__buf_2 output50 (.A(net50),
     .X(io_out[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output51 (.A(net51),
+ sky130_fd_sc_hd__buf_2 output51 (.A(net51),
     .X(io_out[1]),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -267307,464 +263376,692 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output53 (.A(net53),
+ sky130_fd_sc_hd__buf_2 output53 (.A(net53),
     .X(reg_rdata[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output54 (.A(net54),
+ sky130_fd_sc_hd__buf_2 output54 (.A(net54),
     .X(reg_rdata[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output55 (.A(net55),
+ sky130_fd_sc_hd__buf_2 output55 (.A(net55),
     .X(reg_rdata[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output56 (.A(net56),
+ sky130_fd_sc_hd__buf_2 output56 (.A(net56),
     .X(reg_rdata[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output57 (.A(net57),
+ sky130_fd_sc_hd__buf_2 output57 (.A(net57),
     .X(reg_rdata[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output58 (.A(net58),
+ sky130_fd_sc_hd__buf_2 output58 (.A(net58),
     .X(reg_rdata[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output59 (.A(net59),
+ sky130_fd_sc_hd__buf_2 output59 (.A(net59),
     .X(reg_rdata[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output60 (.A(net60),
+ sky130_fd_sc_hd__buf_2 output60 (.A(net60),
     .X(reg_rdata[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output61 (.A(net61),
+ sky130_fd_sc_hd__buf_2 output61 (.A(net61),
     .X(reg_rdata[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output62 (.A(net62),
+ sky130_fd_sc_hd__buf_2 output62 (.A(net62),
     .X(reg_rdata[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output63 (.A(net63),
+ sky130_fd_sc_hd__buf_2 output63 (.A(net63),
     .X(reg_rdata[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output64 (.A(net64),
+ sky130_fd_sc_hd__buf_2 output64 (.A(net64),
     .X(reg_rdata[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output65 (.A(net65),
+ sky130_fd_sc_hd__buf_2 output65 (.A(net65),
     .X(reg_rdata[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output66 (.A(net66),
+ sky130_fd_sc_hd__buf_2 output66 (.A(net66),
     .X(reg_rdata[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output67 (.A(net67),
+ sky130_fd_sc_hd__buf_2 output67 (.A(net67),
     .X(reg_rdata[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output68 (.A(net68),
+ sky130_fd_sc_hd__buf_2 output68 (.A(net68),
     .X(reg_rdata[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output69 (.A(net69),
+ sky130_fd_sc_hd__buf_2 output69 (.A(net69),
     .X(reg_rdata[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output70 (.A(net70),
+ sky130_fd_sc_hd__buf_2 output70 (.A(net70),
     .X(reg_rdata[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output71 (.A(net71),
+ sky130_fd_sc_hd__buf_2 output71 (.A(net71),
     .X(reg_rdata[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output72 (.A(net72),
+ sky130_fd_sc_hd__buf_2 output72 (.A(net72),
     .X(reg_rdata[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output73 (.A(net73),
+ sky130_fd_sc_hd__buf_2 output73 (.A(net73),
     .X(reg_rdata[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output74 (.A(net74),
+ sky130_fd_sc_hd__buf_2 output74 (.A(net74),
     .X(reg_rdata[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output75 (.A(net75),
+ sky130_fd_sc_hd__buf_2 output75 (.A(net75),
     .X(reg_rdata[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output76 (.A(net76),
+ sky130_fd_sc_hd__buf_2 output76 (.A(net76),
     .X(reg_rdata[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output77 (.A(net77),
+ sky130_fd_sc_hd__buf_2 output77 (.A(net77),
     .X(reg_rdata[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output78 (.A(net78),
+ sky130_fd_sc_hd__buf_2 output78 (.A(net78),
     .X(reg_rdata[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output79 (.A(net79),
+ sky130_fd_sc_hd__buf_2 output79 (.A(net79),
     .X(reg_rdata[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output80 (.A(net80),
+ sky130_fd_sc_hd__buf_2 output80 (.A(net80),
     .X(reg_rdata[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output81 (.A(net81),
+ sky130_fd_sc_hd__buf_2 output81 (.A(net81),
     .X(reg_rdata[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output82 (.A(net82),
+ sky130_fd_sc_hd__buf_2 output82 (.A(net82),
     .X(reg_rdata[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output83 (.A(net83),
+ sky130_fd_sc_hd__buf_2 output83 (.A(net83),
     .X(reg_rdata[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output84 (.A(net84),
+ sky130_fd_sc_hd__buf_2 output84 (.A(net84),
     .X(reg_rdata[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output85 (.A(net85),
+ sky130_fd_sc_hd__buf_2 output85 (.A(net85),
     .X(wbd_clk_uart),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer1 (.A(net92),
-    .X(net91),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer1 (.A(net42),
+    .X(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer10 (.A(net101),
-    .X(net100),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer10 (.A(net189),
+    .X(net188),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer11 (.A(net102),
-    .X(net101),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer11 (.A(net190),
+    .X(net189),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer12 (.A(net103),
-    .X(net102),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer12 (.A(net191),
+    .X(net190),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer13 (.A(net104),
-    .X(net103),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer13 (.A(net192),
+    .X(net191),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer14 (.A(net105),
-    .X(net104),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer14 (.A(net193),
+    .X(net192),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer15 (.A(net106),
-    .X(net105),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer15 (.A(net194),
+    .X(net193),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer16 (.A(net107),
-    .X(net106),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer16 (.A(net195),
+    .X(net194),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer17 (.A(net108),
-    .X(net107),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer17 (.A(net196),
+    .X(net195),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer18 (.A(net109),
-    .X(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer19 (.A(net110),
-    .X(net109),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer2 (.A(net93),
-    .X(net92),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer20 (.A(net111),
-    .X(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer21 (.A(net112),
-    .X(net111),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 rebuffer22 (.A(_00284_),
-    .X(net112),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer23 (.A(net42),
-    .X(net113),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer24 (.A(net113),
-    .X(net114),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 rebuffer25 (.A(net13),
-    .X(net115),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer26 (.A(net115),
-    .X(net116),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer27 (.A(net197),
-    .X(net117),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 rebuffer28 (.A(_05543_),
-    .X(net118),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer29 (.A(net208),
-    .X(net119),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer3 (.A(net94),
-    .X(net93),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 rebuffer30 (.A(_05768_),
-    .X(net120),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer31 (.A(net120),
-    .X(net121),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 rebuffer32 (.A(_00284_),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer18 (.A(net197),
     .X(net196),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer33 (.A(net198),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer19 (.A(net198),
     .X(net197),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer34 (.A(net199),
+ sky130_fd_sc_hd__buf_6 rebuffer2 (.A(_00284_),
+    .X(net180),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer20 (.A(net199),
     .X(net198),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer35 (.A(net200),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer21 (.A(net200),
     .X(net199),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer36 (.A(net201),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer22 (.A(net201),
     .X(net200),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer37 (.A(net202),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer23 (.A(net202),
     .X(net201),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer38 (.A(net203),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer24 (.A(net203),
     .X(net202),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer39 (.A(net204),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer25 (.A(net204),
     .X(net203),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer4 (.A(net95),
-    .X(net94),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer40 (.A(net205),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer26 (.A(net205),
     .X(net204),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer41 (.A(net206),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer27 (.A(net206),
     .X(net205),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer42 (.A(net207),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer28 (.A(net207),
     .X(net206),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer43 (.A(_00211_),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer29 (.A(net208),
     .X(net207),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer44 (.A(_05768_),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer3 (.A(net176),
+    .X(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer30 (.A(net209),
     .X(net208),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer5 (.A(net96),
-    .X(net95),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer31 (.A(_00211_),
+    .X(net209),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer6 (.A(net97),
-    .X(net96),
+ sky130_fd_sc_hd__clkbuf_1 rebuffer32 (.A(_06771_),
+    .X(net210),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer7 (.A(net98),
-    .X(net97),
+ sky130_fd_sc_hd__clkbuf_1 rebuffer33 (.A(_06765_),
+    .X(net211),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer8 (.A(net99),
-    .X(net98),
+ sky130_fd_sc_hd__clkbuf_1 rebuffer34 (.A(_06824_),
+    .X(net212),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer9 (.A(net100),
-    .X(net99),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer35 (.A(net42),
+    .X(net132),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer36 (.A(net42),
+    .X(net133),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer37 (.A(net42),
+    .X(net134),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer38 (.A(net42),
+    .X(net135),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer39 (.A(net42),
+    .X(net136),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer4 (.A(net183),
+    .X(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer40 (.A(net179),
+    .X(net137),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer41 (.A(net137),
+    .X(net138),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer42 (.A(net140),
+    .X(net139),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer43 (.A(net141),
+    .X(net140),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer44 (.A(net142),
+    .X(net141),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer45 (.A(net143),
+    .X(net142),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer46 (.A(net144),
+    .X(net143),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer47 (.A(net145),
+    .X(net144),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer48 (.A(net146),
+    .X(net145),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer49 (.A(net147),
+    .X(net146),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer5 (.A(net184),
+    .X(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer50 (.A(net148),
+    .X(net147),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer51 (.A(net149),
+    .X(net148),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer52 (.A(net150),
+    .X(net149),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer53 (.A(net151),
+    .X(net150),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer54 (.A(net152),
+    .X(net151),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer55 (.A(net153),
+    .X(net152),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer56 (.A(net154),
+    .X(net153),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer57 (.A(net155),
+    .X(net154),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer58 (.A(net156),
+    .X(net155),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer59 (.A(net157),
+    .X(net156),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer6 (.A(net185),
+    .X(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer60 (.A(net158),
+    .X(net157),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer61 (.A(net159),
+    .X(net158),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer62 (.A(net160),
+    .X(net159),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer63 (.A(net161),
+    .X(net160),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer64 (.A(net162),
+    .X(net161),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer65 (.A(net163),
+    .X(net162),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 rebuffer66 (.A(net164),
+    .X(net163),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 rebuffer67 (.A(net165),
+    .X(net164),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer68 (.A(net166),
+    .X(net165),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer69 (.A(net167),
+    .X(net166),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer7 (.A(net186),
+    .X(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer70 (.A(net168),
+    .X(net167),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer71 (.A(net169),
+    .X(net168),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer72 (.A(net170),
+    .X(net169),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer73 (.A(net171),
+    .X(net170),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 rebuffer74 (.A(net172),
+    .X(net171),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer75 (.A(net173),
+    .X(net172),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer76 (.A(net174),
+    .X(net173),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer77 (.A(_00284_),
+    .X(net174),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer78 (.A(net182),
+    .X(net175),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 rebuffer79 (.A(_05501_),
+    .X(net176),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer8 (.A(net187),
+    .X(net186),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer80 (.A(net181),
+    .X(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer81 (.A(net213),
+    .X(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer82 (.A(_05501_),
+    .X(net213),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer9 (.A(net188),
+    .X(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -267775,24 +264072,66 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 repeater87 (.A(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__buf_12 repeater87 (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
     .X(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 repeater88 (.A(net46),
+ sky130_fd_sc_hd__buf_12 repeater88 (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .X(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 repeater89 (.A(net46),
+ sky130_fd_sc_hd__buf_12 repeater89 (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .X(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 repeater90 (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+    .X(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 repeater91 (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+    .X(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 repeater92 (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+    .X(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 repeater93 (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .X(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 repeater94 (.A(\u_uart_core.app_reset_n ),
+    .X(net94),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 repeater95 (.A(net46),
+    .X(net95),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 repeater96 (.A(net46),
+    .X(net96),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_1 \u_skew_uart.clkbuf_1  (.A(net47),
     .X(\u_skew_uart.clk_d1 ),
     .VGND(vssd1),
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.v
index ae04f4c..4dd0ef2 100644
--- a/verilog/gl/user_project_wrapper.v
+++ b/verilog/gl/user_project_wrapper.v
@@ -922,7 +922,10 @@
  wire \wbd_uart_dat_o[7] ;
  wire \wbd_uart_dat_o[8] ;
  wire \wbd_uart_dat_o[9] ;
- wire wbd_uart_sel_o;
+ wire \wbd_uart_sel_o[0] ;
+ wire \wbd_uart_sel_o[1] ;
+ wire \wbd_uart_sel_o[2] ;
+ wire \wbd_uart_sel_o[3] ;
  wire wbd_uart_stb_o;
  wire wbd_uart_we_o;
 
@@ -1157,7 +1160,6 @@
     .s2_wbd_we_o(wbd_glbl_we_o),
     .s3_wbd_ack_i(wbd_uart_ack_i),
     .s3_wbd_cyc_o(wbd_uart_cyc_o),
-    .s3_wbd_sel_o(wbd_uart_sel_o),
     .s3_wbd_stb_o(wbd_uart_stb_o),
     .s3_wbd_we_o(wbd_uart_we_o),
     .vccd1(vccd1),
@@ -1815,7 +1817,11 @@
     \wbd_uart_dat_o[3] ,
     \wbd_uart_dat_o[2] ,
     \wbd_uart_dat_o[1] ,
-    \wbd_uart_dat_o[0] }));
+    \wbd_uart_dat_o[0] }),
+    .s3_wbd_sel_o({\wbd_uart_sel_o[3] ,
+    \wbd_uart_sel_o[2] ,
+    \wbd_uart_sel_o[1] ,
+    \wbd_uart_sel_o[0] }));
  scr1_top_wb u_riscv_top (.core_clk(cpu_clk),
     .cpu_rst_n(cpu_rst_n),
     .pwrup_rst_n(wbd_int_rst_n),
@@ -2626,7 +2632,6 @@
  uart_i2c_usb_top u_uart_i2c_usb (.app_clk(wbd_clk_uart),
     .i2c_rstn(i2c_rst_n),
     .reg_ack(wbd_uart_ack_i),
-    .reg_be(wbd_uart_sel_o),
     .reg_cs(wbd_uart_stb_o),
     .reg_wr(wbd_uart_we_o),
     .uart_rstn(uart_rst_n),
@@ -2650,6 +2655,10 @@
     \wbd_uart_adr_o[4] ,
     \wbd_uart_adr_o[3] ,
     \wbd_uart_adr_o[2] }),
+    .reg_be({\wbd_uart_sel_o[3] ,
+    \wbd_uart_sel_o[2] ,
+    \wbd_uart_sel_o[1] ,
+    \wbd_uart_sel_o[0] }),
     .reg_rdata({\wbd_uart_dat_i[31] ,
     \wbd_uart_dat_i[30] ,
     \wbd_uart_dat_i[29] ,
diff --git a/verilog/gl/wb_interconnect.v b/verilog/gl/wb_interconnect.v
index 8b8b5d1..5d8d9b6 100644
--- a/verilog/gl/wb_interconnect.v
+++ b/verilog/gl/wb_interconnect.v
@@ -29,7 +29,6 @@
     s2_wbd_we_o,
     s3_wbd_ack_i,
     s3_wbd_cyc_o,
-    s3_wbd_sel_o,
     s3_wbd_stb_o,
     s3_wbd_we_o,
     vccd1,
@@ -63,7 +62,8 @@
     s2_wbd_sel_o,
     s3_wbd_adr_o,
     s3_wbd_dat_i,
-    s3_wbd_dat_o);
+    s3_wbd_dat_o,
+    s3_wbd_sel_o);
  input clk_i;
  output m0_wbd_ack_o;
  input m0_wbd_cyc_i;
@@ -95,7 +95,6 @@
  output s2_wbd_we_o;
  input s3_wbd_ack_i;
  output s3_wbd_cyc_o;
- output s3_wbd_sel_o;
  output s3_wbd_stb_o;
  output s3_wbd_we_o;
  input vccd1;
@@ -130,6 +129,7 @@
  output [7:0] s3_wbd_adr_o;
  input [31:0] s3_wbd_dat_i;
  output [31:0] s3_wbd_dat_o;
+ output [3:0] s3_wbd_sel_o;
 
  wire _0000_;
  wire _0001_;
@@ -860,45 +860,6 @@
  wire _0726_;
  wire _0727_;
  wire _0728_;
- wire _0729_;
- wire _0730_;
- wire _0731_;
- wire _0732_;
- wire _0733_;
- wire _0734_;
- wire _0735_;
- wire _0736_;
- wire _0737_;
- wire _0738_;
- wire _0739_;
- wire _0740_;
- wire _0741_;
- wire _0742_;
- wire _0743_;
- wire _0744_;
- wire _0745_;
- wire _0746_;
- wire _0747_;
- wire _0748_;
- wire _0749_;
- wire _0750_;
- wire _0751_;
- wire _0752_;
- wire _0753_;
- wire _0754_;
- wire _0755_;
- wire _0756_;
- wire _0757_;
- wire _0758_;
- wire _0759_;
- wire _0760_;
- wire _0761_;
- wire _0762_;
- wire _0763_;
- wire _0764_;
- wire _0765_;
- wire _0766_;
- wire _0767_;
  wire clknet_0_clk_i;
  wire clknet_1_0_0_clk_i;
  wire clknet_1_0_1_clk_i;
@@ -1577,7 +1538,6 @@
  wire net690;
  wire net691;
  wire net692;
- wire net693;
  wire net7;
  wire net70;
  wire net71;
@@ -1782,707 +1742,862 @@
  wire \u_wb_arb.state[1] ;
  wire \u_wb_arb.state[2] ;
 
- sky130_fd_sc_hd__diode_2 ANTENNA__0770__A (.DIODE(_0741_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0731__A (.DIODE(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0771__A (.DIODE(_0742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0733__A (.DIODE(_0705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0774__A2 (.DIODE(_0184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0736__A2 (.DIODE(_0184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0774__B1 (.DIODE(_0745_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0736__B1 (.DIODE(_0708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0775__A (.DIODE(_0746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0737__A (.DIODE(_0709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0777__A2 (.DIODE(_0743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0738__A (.DIODE(_0710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0778__A1 (.DIODE(_0062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0739__A2 (.DIODE(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0778__A2 (.DIODE(_0743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0739__B2 (.DIODE(_0711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0779__A (.DIODE(_0746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0740__A2 (.DIODE(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0780__A (.DIODE(_0749_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0740__B2 (.DIODE(_0711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0781__A1 (.DIODE(_0060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0741__A (.DIODE(_0710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0781__A2 (.DIODE(_0743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0742__A2 (.DIODE(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0781__B2 (.DIODE(_0750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0742__B2 (.DIODE(_0712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0782__A (.DIODE(_0741_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0743__A2 (.DIODE(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0784__A2 (.DIODE(_0752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0743__B2 (.DIODE(_0712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0784__B2 (.DIODE(_0750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0744__A (.DIODE(_0705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0785__A1 (.DIODE(_0056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0745__B2 (.DIODE(_0712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0785__A2 (.DIODE(_0752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0746__B2 (.DIODE(_0712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0785__B2 (.DIODE(_0750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0747__A (.DIODE(_0709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0786__A2 (.DIODE(_0752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0748__A (.DIODE(_0714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0786__B2 (.DIODE(_0750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0749__A (.DIODE(_0715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0787__A (.DIODE(_0749_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0750__A1 (.DIODE(_0052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0788__A1 (.DIODE(_0052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0750__B2 (.DIODE(_0716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0788__A2 (.DIODE(_0752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0751__A1 (.DIODE(_0050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0788__B2 (.DIODE(_0753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0751__B2 (.DIODE(_0716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0790__A1 (.DIODE(_0050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0752__A (.DIODE(_0705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0790__A2 (.DIODE(_0754_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0753__A2 (.DIODE(_0717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0790__B2 (.DIODE(_0753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0753__B2 (.DIODE(_0716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0791__A2 (.DIODE(_0754_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0754__A2 (.DIODE(_0717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0791__B2 (.DIODE(_0753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0754__B2 (.DIODE(_0716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0792__A2 (.DIODE(_0754_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0755__A (.DIODE(_0715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0792__B2 (.DIODE(_0753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0756__A1 (.DIODE(_0044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0794__A (.DIODE(_0755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0756__A2 (.DIODE(_0717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0756__B2 (.DIODE(_0718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0757__A1 (.DIODE(_0042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0757__A2 (.DIODE(_0717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0757__B2 (.DIODE(_0718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0759__A (.DIODE(_0719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0760__B2 (.DIODE(_0718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0761__B2 (.DIODE(_0718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0762__A (.DIODE(_0715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0763__B2 (.DIODE(_0721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0764__B2 (.DIODE(_0721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0765__A (.DIODE(_0719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0766__A2 (.DIODE(_0722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0766__B2 (.DIODE(_0721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0767__A2 (.DIODE(_0722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0767__B2 (.DIODE(_0721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0768__A (.DIODE(_0715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0769__A2 (.DIODE(_0722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0769__B1 (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0769__B2 (.DIODE(_0723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0770__A2 (.DIODE(_0722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0770__B1 (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0770__B2 (.DIODE(_0723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0771__A (.DIODE(_0719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0772__A2 (.DIODE(_0724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0772__B2 (.DIODE(_0723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0773__A2 (.DIODE(_0724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0773__B2 (.DIODE(_0723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0774__A (.DIODE(_0709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0775__A (.DIODE(_0725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0776__A2 (.DIODE(_0724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__0776__B2 (.DIODE(_0726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0795__A2 (.DIODE(_0754_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0777__A2 (.DIODE(_0724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0797__A2 (.DIODE(_0757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0777__B2 (.DIODE(_0726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0798__A2 (.DIODE(_0757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0778__A (.DIODE(_0719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0799__A2 (.DIODE(_0757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0779__A2 (.DIODE(_0727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0799__B1 (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0779__B2 (.DIODE(_0726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0800__A (.DIODE(_0755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0780__A2 (.DIODE(_0727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0801__A2 (.DIODE(_0757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0780__B2 (.DIODE(_0726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0801__B2 (.DIODE(_0758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0781__A (.DIODE(_0725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0802__A (.DIODE(_0742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0782__A2 (.DIODE(_0727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0803__A (.DIODE(_0759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0783__A2 (.DIODE(_0727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0804__A2 (.DIODE(_0760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0784__A (.DIODE(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0804__B2 (.DIODE(_0758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0785__A (.DIODE(_0257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0805__A2 (.DIODE(_0760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0786__A2 (.DIODE(_0258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0805__B2 (.DIODE(_0758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0787__A2 (.DIODE(_0258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0806__A2 (.DIODE(_0760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0788__A (.DIODE(_0725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0806__B2 (.DIODE(_0758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0789__A2 (.DIODE(_0258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0807__A (.DIODE(_0755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0790__A2 (.DIODE(_0258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0808__A1 (.DIODE(_0028_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0791__A (.DIODE(_0257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0808__A2 (.DIODE(_0760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0794__A (.DIODE(_0725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0808__B2 (.DIODE(_0761_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0795__B1 (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0809__A (.DIODE(_0759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0797__A (.DIODE(_0257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0810__A1 (.DIODE(_0026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0799__B1 (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0810__A2 (.DIODE(_0762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0800__A (.DIODE(_0709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0810__B2 (.DIODE(_0761_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0802__B1 (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0811__A2 (.DIODE(_0762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0803__B1 (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0811__B2 (.DIODE(_0761_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0804__A (.DIODE(_0257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0812__A1 (.DIODE(_0022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0805__B1 (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0812__A2 (.DIODE(_0762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0806__B1 (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0812__B2 (.DIODE(_0761_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0808__B1 (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0813__A (.DIODE(_0755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0809__B1 (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0814__A1 (.DIODE(_0020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0810__A (.DIODE(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0814__A2 (.DIODE(_0762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0819__A1 (.DIODE(_0090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0815__A (.DIODE(_0759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0826__A (.DIODE(_0714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0816__A1 (.DIODE(_0018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0830__A2 (.DIODE(_0274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0816__A2 (.DIODE(_0764_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0831__A2 (.DIODE(_0274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0817__A2 (.DIODE(_0764_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0832__A (.DIODE(_0714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0818__A2 (.DIODE(_0764_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0833__A2 (.DIODE(_0274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0819__A (.DIODE(_0746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0833__B2 (.DIODE(_0275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0821__A2 (.DIODE(_0764_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0834__A2 (.DIODE(_0274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0821__B2 (.DIODE(_0766_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0834__B2 (.DIODE(_0275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0822__A (.DIODE(_0759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0836__A2 (.DIODE(_0276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0823__A2 (.DIODE(_0767_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0836__B2 (.DIODE(_0275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0823__B1 (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0837__A2_N (.DIODE(_0708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0823__B2 (.DIODE(_0766_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0837__B1 (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0824__A2 (.DIODE(_0767_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0837__B2 (.DIODE(_0711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0824__B1 (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0838__A2_N (.DIODE(_0708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0824__B2 (.DIODE(_0766_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0838__B1 (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0825__A2 (.DIODE(_0767_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0838__B2 (.DIODE(_0711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0825__B2 (.DIODE(_0766_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0839__A (.DIODE(_0184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0827__A2 (.DIODE(_0767_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0840__B1 (.DIODE(_0708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0827__B2 (.DIODE(_0257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0841__A2 (.DIODE(_0276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0828__A (.DIODE(_0742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0841__B2 (.DIODE(_0275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0829__A (.DIODE(_0258_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0842__A (.DIODE(_0714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0830__A1 (.DIODE(_0126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0843__A2 (.DIODE(_0276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0830__B2 (.DIODE(_0257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0844__A2 (.DIODE(_0184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0831__A1 (.DIODE(_0124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0845__A (.DIODE(_0705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0831__B2 (.DIODE(_0257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0847__A2 (.DIODE(_0276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0832__B2 (.DIODE(_0257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0849__A2 (.DIODE(_0280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0835__A (.DIODE(_0258_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0850__A2 (.DIODE(_0280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0836__A1 (.DIODE(_0118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0851__A2 (.DIODE(_0280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0837__A1 (.DIODE(_0116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0851__B2 (.DIODE(_0710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0838__A1 (.DIODE(_0114_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0853__A (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0840__A1 (.DIODE(_0112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0854__A (.DIODE(_0281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0841__A (.DIODE(_0258_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0854__B (.DIODE(_0282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0842__A1 (.DIODE(_0110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0855__A (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0843__A1 (.DIODE(_0108_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0856__A (.DIODE(_0281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0845__A (.DIODE(_0746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0856__B (.DIODE(_0283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0848__A (.DIODE(_0258_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0858__A (.DIODE(\u_wb_arb.state[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0849__A1 (.DIODE(_0102_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0859__A (.DIODE(_0281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0850__A1 (.DIODE(_0100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0859__B (.DIODE(_0284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0854__A (.DIODE(_0741_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0861__A (.DIODE(_0285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0855__A (.DIODE(_0268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0862__A (.DIODE(_0286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0860__A1 (.DIODE(_0088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0863__A (.DIODE(net141),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0861__A (.DIODE(_0268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0864__B (.DIODE(net379),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0862__A1 (.DIODE(_0086_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0866__A (.DIODE(_0177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0863__A1 (.DIODE(_0084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0866__B (.DIODE(net346),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0864__A1 (.DIODE(_0082_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0867__A (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0866__A1 (.DIODE(_0080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0868__A (.DIODE(_0282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0867__A (.DIODE(_0268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0871__B1 (.DIODE(_0293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0872__B2 (.DIODE(_0274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0873__A (.DIODE(\u_wb_arb.state[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0873__A (.DIODE(_0268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0874__A (.DIODE(_0283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0874__A2 (.DIODE(_0275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0875__C (.DIODE(_0286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0874__B2 (.DIODE(_0274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0879__A (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0875__A2 (.DIODE(_0275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0879__B (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0875__B2 (.DIODE(_0274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0880__A1 (.DIODE(_0293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0876__A2_N (.DIODE(_0745_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0880__A2 (.DIODE(_0285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0876__B1 (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0882__A (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0877__A2_N (.DIODE(_0745_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0882__B (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0877__B1 (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0883__A (.DIODE(_0302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0878__A (.DIODE(_0184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0884__A (.DIODE(_0303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0879__B1 (.DIODE(_0745_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0885__A (.DIODE(_0304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0880__A1 (.DIODE(_0176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0886__A (.DIODE(net312),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0880__A2 (.DIODE(_0275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0887__A (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0880__B2 (.DIODE(_0274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0888__A (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0882__A2 (.DIODE(_0275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0889__A (.DIODE(_0306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0883__A2 (.DIODE(_0184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0889__B (.DIODE(_0307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0884__A (.DIODE(_0743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0890__A (.DIODE(_0308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0885__A (.DIODE(_0742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0891__A (.DIODE(_0309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0886__B1 (.DIODE(\u_m_wb_stage.m_wbd_sel_i_ff[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0893__A (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0887__B1 (.DIODE(\u_m_wb_stage.m_wbd_sel_i_ff[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0893__B (.DIODE(_0307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0888__B1 (.DIODE(\u_m_wb_stage.m_wbd_sel_i_ff[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0894__A (.DIODE(_0312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0889__B2 (.DIODE(_0749_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0896__A (.DIODE(_0306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0892__A (.DIODE(_0279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0896__B (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0894__A (.DIODE(_0279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0896__C_N (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0894__B (.DIODE(_0281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0897__A1 (.DIODE(_0305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0897__A (.DIODE(_0279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0897__A2 (.DIODE(_0310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0897__B (.DIODE(_0282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0897__B2 (.DIODE(_0314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0899__A (.DIODE(_0283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0899__A (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -2492,4682 +2607,5632 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0901__A (.DIODE(net141),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0902__B1 (.DIODE(_0318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0902__B (.DIODE(net379),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0905__A (.DIODE(_0306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0905__A (.DIODE(_0289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0905__B (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0906__A (.DIODE(_0177_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0908__A (.DIODE(_0324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0906__B (.DIODE(net346),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0910__A (.DIODE(_0321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0907__A (.DIODE(_0290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0912__A (.DIODE(_0327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0907__B (.DIODE(_0291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0914__A (.DIODE(_0328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0909__A (.DIODE(_0291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0916__A (.DIODE(_0329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0911__B1 (.DIODE(_0293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0919__A (.DIODE(_0330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0912__A (.DIODE(_0296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0921__A (.DIODE(_0332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0914__A (.DIODE(_0281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0923__A (.DIODE(_0333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0915__B (.DIODE(_0291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0925__A (.DIODE(_0334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0915__C (.DIODE(_0284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0928__A (.DIODE(_0335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0917__B1 (.DIODE(_0298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0930__A (.DIODE(_0337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0920__A (.DIODE(_0302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0932__A (.DIODE(_0338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0921__A (.DIODE(_0303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0934__A (.DIODE(_0339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0922__A (.DIODE(_0290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0936__A (.DIODE(_0324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0925__A (.DIODE(_0281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0938__A (.DIODE(_0340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0926__A1 (.DIODE(_0306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0940__A (.DIODE(_0343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0926__A2 (.DIODE(_0283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0942__A (.DIODE(_0344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0927__A (.DIODE(net213),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0944__A (.DIODE(_0345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0928__A (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0947__A (.DIODE(_0346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0928__B (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0949__A (.DIODE(_0310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0929__A (.DIODE(_0308_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0950__A (.DIODE(_0348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0931__A (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0952__A (.DIODE(_0350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0932__A (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0954__A (.DIODE(_0351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0933__A (.DIODE(_0311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0956__A (.DIODE(_0352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0933__B (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0958__A (.DIODE(_0310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0934__A (.DIODE(_0312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0959__A (.DIODE(_0353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0935__A (.DIODE(_0313_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0961__A (.DIODE(_0355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0937__A (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0963__A (.DIODE(_0356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0938__A (.DIODE(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0965__A (.DIODE(_0357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0938__B (.DIODE(_0316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0967__A (.DIODE(_0309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0941__A (.DIODE(_0319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0969__A (.DIODE(_0358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0942__A (.DIODE(_0311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0971__A (.DIODE(_0361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0942__B (.DIODE(_0316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0973__A (.DIODE(_0362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0942__C_N (.DIODE(net312),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0975__A (.DIODE(_0363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0943__A1 (.DIODE(_0310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0978__A (.DIODE(_0364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0943__A2 (.DIODE(_0314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0980__A (.DIODE(_0366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0943__B2 (.DIODE(_0320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0982__A (.DIODE(_0367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0945__A (.DIODE(_0303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0984__A (.DIODE(_0368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0947__A2 (.DIODE(_0291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0987__A (.DIODE(_0369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0947__B1 (.DIODE(_0282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0989__A (.DIODE(_0371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0950__A (.DIODE(_0314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0991__A (.DIODE(_0372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0951__A (.DIODE(_0326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0993__A (.DIODE(_0373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0953__A (.DIODE(_0328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0996__A (.DIODE(_0374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0954__A (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__0998__A (.DIODE(_0376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0955__A (.DIODE(_0329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1000__A (.DIODE(_0377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0957__A (.DIODE(_0330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1002__A (.DIODE(_0378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0959__A (.DIODE(_0314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1003__A (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0960__A (.DIODE(_0331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1004__A (.DIODE(_0309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0962__A (.DIODE(_0333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1006__A (.DIODE(_0379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0964__A (.DIODE(_0334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1007__A (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0966__A (.DIODE(_0335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1008__A (.DIODE(_0382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0968__A (.DIODE(_0313_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1010__A (.DIODE(_0383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0970__A (.DIODE(_0336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1012__A (.DIODE(_0384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0972__A (.DIODE(_0339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1014__A (.DIODE(_0321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0974__A (.DIODE(_0340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1015__A (.DIODE(_0327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0976__A (.DIODE(_0341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1016__A (.DIODE(_0328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0979__A (.DIODE(_0342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1017__A (.DIODE(_0329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0981__A (.DIODE(_0344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1019__A (.DIODE(_0330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0983__A (.DIODE(_0345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1020__A (.DIODE(_0332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0985__A (.DIODE(_0346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1021__A (.DIODE(_0333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0988__A (.DIODE(_0347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1022__A (.DIODE(_0334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0988__B (.DIODE(_0348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1024__A (.DIODE(_0335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0990__A (.DIODE(_0311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1025__A (.DIODE(_0337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0990__B (.DIODE(_0316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1026__A (.DIODE(_0338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0992__A (.DIODE(_0351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1027__A (.DIODE(_0339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0993__A (.DIODE(_0352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1028__A (.DIODE(_0308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0995__A (.DIODE(_0349_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1030__A (.DIODE(_0340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0997__A (.DIODE(_0355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1030__B (.DIODE(_0389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__0999__A (.DIODE(_0356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1031__A (.DIODE(_0343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1001__A (.DIODE(_0357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1031__B (.DIODE(_0389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1004__A (.DIODE(_0358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1032__A (.DIODE(_0344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1006__A (.DIODE(_0360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1032__B (.DIODE(_0389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1008__A (.DIODE(_0361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1033__A (.DIODE(_0345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1010__A (.DIODE(_0362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1033__B (.DIODE(_0389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1012__A (.DIODE(_0352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1034__A (.DIODE(_0309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1013__A (.DIODE(_0364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1035__A (.DIODE(_0346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1014__A (.DIODE(_0363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1036__A (.DIODE(_0318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1016__A (.DIODE(_0366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1038__B (.DIODE(_0392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1018__A (.DIODE(_0367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1040__B (.DIODE(_0393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1020__A (.DIODE(_0368_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1042__B (.DIODE(_0394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1021__A (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1044__B (.DIODE(_0395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1022__A (.DIODE(_0364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1045__A (.DIODE(_0318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1023__A (.DIODE(_0369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1047__B (.DIODE(_0397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1024__A (.DIODE(\u_m_wb_stage.m_wbd_dat_i_ff[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1049__B (.DIODE(_0398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1025__A (.DIODE(_0371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1051__B (.DIODE(_0399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1027__A (.DIODE(_0372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1053__B (.DIODE(_0400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1029__A (.DIODE(_0373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1054__A (.DIODE(_0318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1031__A (.DIODE(_0364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1056__B (.DIODE(_0402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1032__A (.DIODE(_0374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1058__B (.DIODE(_0403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1034__A (.DIODE(_0376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1060__B (.DIODE(_0404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1036__A (.DIODE(_0377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1062__B (.DIODE(_0405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1038__A (.DIODE(_0378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1063__A (.DIODE(_0284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1040__A (.DIODE(_0364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1066__B (.DIODE(_0408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1041__A (.DIODE(_0379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1068__B (.DIODE(_0409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1043__A (.DIODE(_0381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1070__B (.DIODE(_0410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1045__A (.DIODE(_0382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1072__B (.DIODE(_0411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1047__A (.DIODE(_0383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1075__B (.DIODE(_0413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1049__A (.DIODE(_0352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1077__B (.DIODE(_0414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1051__A (.DIODE(_0384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1079__B (.DIODE(_0415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1052__A (.DIODE(_0326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1081__B (.DIODE(_0416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1053__A (.DIODE(_0328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1084__B (.DIODE(_0418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1054__A (.DIODE(_0329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1086__B (.DIODE(_0419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1056__A (.DIODE(_0330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1088__B (.DIODE(_0420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1057__A (.DIODE(_0331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1090__B (.DIODE(_0421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1058__A (.DIODE(_0333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1092__A (.DIODE(\u_m_wb_stage.m_wbd_dat_o[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1059__A (.DIODE(_0334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1093__B (.DIODE(_0423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1061__A (.DIODE(_0335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1095__B (.DIODE(_0424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1062__A (.DIODE(_0336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1097__B (.DIODE(_0425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1063__A (.DIODE(_0339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1099__B (.DIODE(_0426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1064__A (.DIODE(_0340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1100__A (.DIODE(_0284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1066__A (.DIODE(_0341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1102__B (.DIODE(_0428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1067__A (.DIODE(_0342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1104__B (.DIODE(_0429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1068__A (.DIODE(_0344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1106__B (.DIODE(_0430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1069__A (.DIODE(_0345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1108__B (.DIODE(_0431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1070__A (.DIODE(_0346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1110__B (.DIODE(_0392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1071__A (.DIODE(_0347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1111__B (.DIODE(_0393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1072__A (.DIODE(_0282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1112__B (.DIODE(_0394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1075__A (.DIODE(_0391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1113__B (.DIODE(_0395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1075__B (.DIODE(_0392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1114__A (.DIODE(_0283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1077__A (.DIODE(_0391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1115__A (.DIODE(_0433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1077__B (.DIODE(_0393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1116__B (.DIODE(_0397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1079__A (.DIODE(_0391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1117__B (.DIODE(_0398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1079__B (.DIODE(_0394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1118__B (.DIODE(_0399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1081__A (.DIODE(_0391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1119__B (.DIODE(_0400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1081__B (.DIODE(_0395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1120__A (.DIODE(_0433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1084__B (.DIODE(_0397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1121__B (.DIODE(_0402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1086__B (.DIODE(_0398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1122__B (.DIODE(_0403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1087__A (.DIODE(\u_m_wb_stage.m_wbd_dat_o[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1123__B (.DIODE(_0404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1088__B (.DIODE(_0399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1124__B (.DIODE(_0405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1090__B (.DIODE(_0400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1125__A (.DIODE(_0433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1093__B (.DIODE(_0402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1126__B (.DIODE(_0408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1095__B (.DIODE(_0403_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1127__B (.DIODE(_0409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1097__B (.DIODE(_0404_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1128__B (.DIODE(_0410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1099__B (.DIODE(_0405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1129__B (.DIODE(_0411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1102__B (.DIODE(_0407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1130__A (.DIODE(_0433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1104__B (.DIODE(_0408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1131__B (.DIODE(_0413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1106__B (.DIODE(_0409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1132__B (.DIODE(_0414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1108__B (.DIODE(_0410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1133__B (.DIODE(_0415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1109__A (.DIODE(_0282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1134__B (.DIODE(_0416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1112__A (.DIODE(_0412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1135__A (.DIODE(_0283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1112__B (.DIODE(_0413_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1137__B (.DIODE(_0418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1114__A (.DIODE(_0412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1138__B (.DIODE(_0419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1114__B (.DIODE(_0414_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1139__B (.DIODE(_0420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1116__A (.DIODE(_0412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1140__B (.DIODE(_0421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1116__B (.DIODE(_0415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1142__B (.DIODE(_0423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1118__A (.DIODE(_0412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1143__B (.DIODE(_0424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1118__B (.DIODE(_0416_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1144__B (.DIODE(_0425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1121__B (.DIODE(_0418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1145__B (.DIODE(_0426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1123__B (.DIODE(_0419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1147__B (.DIODE(_0428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1125__B (.DIODE(_0420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1148__B (.DIODE(_0429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1127__B (.DIODE(_0421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1149__B (.DIODE(_0430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1130__B (.DIODE(_0423_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1150__B (.DIODE(_0431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1132__B (.DIODE(_0424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1151__A (.DIODE(_0293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1134__B (.DIODE(_0425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1152__B (.DIODE(_0392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1135__A (.DIODE(\u_m_wb_stage.m_wbd_dat_o[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1153__B (.DIODE(_0393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1136__B (.DIODE(_0426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1154__B (.DIODE(_0394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1139__B (.DIODE(_0428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1155__B (.DIODE(_0395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1141__B (.DIODE(_0429_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1156__A (.DIODE(_0282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1143__B (.DIODE(_0430_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1157__A (.DIODE(_0443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1145__B (.DIODE(_0431_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1159__B (.DIODE(_0397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1147__B (.DIODE(_0392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1160__B (.DIODE(_0398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1148__B (.DIODE(_0393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1161__B (.DIODE(_0399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1149__B (.DIODE(_0394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1162__B (.DIODE(_0400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1150__B (.DIODE(_0395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1164__B (.DIODE(_0402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1152__B (.DIODE(_0397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1165__B (.DIODE(_0403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1153__B (.DIODE(_0398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1166__B (.DIODE(_0404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1154__B (.DIODE(_0399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1167__B (.DIODE(_0405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1155__B (.DIODE(_0400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1169__B (.DIODE(_0408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1156__A (.DIODE(_0298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1170__B (.DIODE(_0409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1157__A (.DIODE(_0434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1171__B (.DIODE(_0410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1158__B (.DIODE(_0402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1172__B (.DIODE(_0411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1159__B (.DIODE(_0403_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1174__B (.DIODE(_0413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1160__B (.DIODE(_0404_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1175__B (.DIODE(_0414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1161__B (.DIODE(_0405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1176__B (.DIODE(_0415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1162__A (.DIODE(_0434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1177__B (.DIODE(_0416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1163__B (.DIODE(_0407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1178__A (.DIODE(_0443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1164__B (.DIODE(_0408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1179__A (.DIODE(_0449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1165__B (.DIODE(_0409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1179__B (.DIODE(_0418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1166__B (.DIODE(_0410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1180__A (.DIODE(_0449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1167__A (.DIODE(_0434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1180__B (.DIODE(_0419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1168__B (.DIODE(_0413_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1181__A (.DIODE(_0449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1169__B (.DIODE(_0414_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1181__B (.DIODE(_0420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1170__B (.DIODE(_0415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1182__A (.DIODE(_0449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1171__B (.DIODE(_0416_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1182__B (.DIODE(_0421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1172__A (.DIODE(_0434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1183__A (.DIODE(_0443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1173__B (.DIODE(_0418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1184__A (.DIODE(_0450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1174__B (.DIODE(_0419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1184__B (.DIODE(_0423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1175__B (.DIODE(_0420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1185__A (.DIODE(_0450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1176__B (.DIODE(_0421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1185__B (.DIODE(_0424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1177__A (.DIODE(_0298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1186__A (.DIODE(_0450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1178__B (.DIODE(_0423_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1186__B (.DIODE(_0425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1179__B (.DIODE(_0424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1187__A (.DIODE(_0450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1180__B (.DIODE(_0425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1187__B (.DIODE(_0426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1181__B (.DIODE(_0426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1188__A (.DIODE(_0443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1182__A (.DIODE(_0298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1189__A (.DIODE(_0451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1183__B (.DIODE(_0428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1189__B (.DIODE(_0428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1184__B (.DIODE(_0429_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1190__A (.DIODE(_0451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1185__B (.DIODE(_0430_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1190__B (.DIODE(_0429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1186__B (.DIODE(_0431_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1191__A (.DIODE(_0451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1187__A (.DIODE(_0306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1191__B (.DIODE(_0430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1188__B (.DIODE(_0392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1192__A (.DIODE(_0451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1189__B (.DIODE(_0393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1192__B (.DIODE(_0431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1190__B (.DIODE(_0394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1193__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1191__B (.DIODE(_0395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1193__A2 (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1192__A (.DIODE(_0306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1193__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1193__B (.DIODE(_0397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1194__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1194__B (.DIODE(_0398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1194__A2 (.DIODE(net116),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1195__B (.DIODE(_0399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1194__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1196__B (.DIODE(_0400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1194__B2 (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1197__A (.DIODE(_0293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1195__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1199__B (.DIODE(_0402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1195__A2 (.DIODE(net127),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1200__B (.DIODE(_0403_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1195__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1201__B (.DIODE(_0404_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1195__B2 (.DIODE(net196),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1202__B (.DIODE(_0405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1196__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1204__A (.DIODE(_0445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1196__A2 (.DIODE(net130),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1204__B (.DIODE(_0407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1196__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1205__A (.DIODE(_0445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1196__B2 (.DIODE(net199),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1205__B (.DIODE(_0408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1197__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1206__A (.DIODE(_0445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1197__A2 (.DIODE(net131),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1206__B (.DIODE(_0409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1197__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1207__A (.DIODE(_0445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1197__B2 (.DIODE(net200),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1207__B (.DIODE(_0410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1198__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1209__B (.DIODE(_0413_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1198__A2 (.DIODE(net132),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1210__B (.DIODE(_0414_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1198__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1211__B (.DIODE(_0415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1198__B2 (.DIODE(net201),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1212__B (.DIODE(_0416_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1199__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1214__B (.DIODE(_0418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1199__A2 (.DIODE(net133),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1215__B (.DIODE(_0419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1199__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1199__B2 (.DIODE(net202),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1200__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1200__A2 (.DIODE(net134),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1200__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1200__B2 (.DIODE(net203),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1201__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1201__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1201__B2 (.DIODE(net204),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1202__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1202__A2 (.DIODE(net136),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1202__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1203__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1203__A2 (.DIODE(net106),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1203__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1204__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1204__A2 (.DIODE(net107),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1204__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1204__B2 (.DIODE(net176),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1205__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1205__A2 (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1205__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1205__B2 (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1206__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1206__A2 (.DIODE(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1206__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1206__B2 (.DIODE(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1207__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1207__A2 (.DIODE(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1207__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1207__B2 (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1208__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1208__A2 (.DIODE(net111),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1208__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1208__B2 (.DIODE(net180),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1209__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1209__A2 (.DIODE(net112),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1209__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1209__B2 (.DIODE(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1210__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1210__A2 (.DIODE(net113),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1210__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1210__B2 (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1211__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1211__A2 (.DIODE(net114),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1211__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1211__B2 (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1212__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1212__A2 (.DIODE(net115),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1212__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1212__B2 (.DIODE(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1213__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1213__A2 (.DIODE(net117),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1213__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1213__B2 (.DIODE(net186),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1214__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1214__A2 (.DIODE(net118),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1214__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1214__B2 (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1215__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1215__A2 (.DIODE(net119),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1215__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1215__B2 (.DIODE(net188),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1216__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1216__A2 (.DIODE(net120),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1216__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1216__B2 (.DIODE(net189),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1217__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1217__A2 (.DIODE(net121),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1217__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1217__B2 (.DIODE(net190),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1218__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1218__A2 (.DIODE(net122),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1218__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1218__B2 (.DIODE(net191),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1219__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1219__A2 (.DIODE(net123),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1219__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1219__B2 (.DIODE(net192),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1220__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1220__A2 (.DIODE(net124),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1220__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1220__B2 (.DIODE(net193),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1221__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1221__A2 (.DIODE(net125),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1221__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1221__B2 (.DIODE(net194),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1222__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1222__A2 (.DIODE(net126),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1222__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1223__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1223__A2 (.DIODE(net128),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1223__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1224__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1224__A2 (.DIODE(net129),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1224__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1224__B2 (.DIODE(net198),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1225__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1225__A2 (.DIODE(net94),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1225__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1225__B2 (.DIODE(net163),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1226__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1226__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1226__B2 (.DIODE(net166),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1227__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1227__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1227__B2 (.DIODE(net167),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1228__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1228__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1228__B2 (.DIODE(net168),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1229__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1229__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1229__B2 (.DIODE(net169),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1230__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1230__A2 (.DIODE(net101),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1230__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1230__B2 (.DIODE(net170),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1231__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1231__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1231__B2 (.DIODE(net171),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1232__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1232__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1232__B2 (.DIODE(net172),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1233__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1233__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1233__B2 (.DIODE(net143),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1234__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1234__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1234__B2 (.DIODE(net144),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1235__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1235__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1235__B2 (.DIODE(net145),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1236__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1236__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1236__B2 (.DIODE(net146),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1237__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1237__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1237__B2 (.DIODE(net147),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1238__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1238__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1238__B2 (.DIODE(net148),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1239__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1239__A2 (.DIODE(net80),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1239__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1239__B2 (.DIODE(net149),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1240__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1240__A2 (.DIODE(net81),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1240__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1240__B2 (.DIODE(net150),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1241__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1241__A2 (.DIODE(net82),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1241__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1241__B2 (.DIODE(net151),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1242__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1242__A2 (.DIODE(net83),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1242__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1242__B2 (.DIODE(net152),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1243__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1243__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1243__B2 (.DIODE(net153),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1244__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1244__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1244__B2 (.DIODE(net154),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1245__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1245__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1245__B2 (.DIODE(net155),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1246__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1246__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1246__B2 (.DIODE(net156),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1247__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1247__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1247__B2 (.DIODE(net157),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1248__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1248__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1248__B2 (.DIODE(net158),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1249__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1249__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1249__B2 (.DIODE(net159),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1250__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1250__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1250__B2 (.DIODE(net160),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1251__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1251__A2 (.DIODE(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1251__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1251__B2 (.DIODE(net161),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1252__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1252__A2 (.DIODE(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1252__B1 (.DIODE(\u_wb_arb.state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1252__B2 (.DIODE(net162),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1253__A1 (.DIODE(\u_wb_arb.state[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1253__A2 (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1216__B (.DIODE(_0420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1253__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1217__B (.DIODE(_0421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1253__B2 (.DIODE(net164),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1218__A (.DIODE(_0293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1254__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1219__B (.DIODE(_0423_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1254__A2 (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1220__B (.DIODE(_0424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1254__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1221__B (.DIODE(_0425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1254__B2 (.DIODE(net165),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1222__B (.DIODE(_0426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1255__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1223__A (.DIODE(_0293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1255__A2 (.DIODE(net137),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1224__B (.DIODE(_0428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1255__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1225__B (.DIODE(_0429_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1255__B2 (.DIODE(net206),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1226__B (.DIODE(_0430_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1256__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1227__B (.DIODE(_0431_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1256__A2 (.DIODE(net138),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1228__A2 (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1256__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1229__A2 (.DIODE(net116),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1256__B2 (.DIODE(net207),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1230__A2 (.DIODE(net127),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1257__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1231__A (.DIODE(_0289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1257__A2 (.DIODE(net139),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1232__A (.DIODE(_0450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1257__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1233__A (.DIODE(_0302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1257__B2 (.DIODE(net208),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1234__A (.DIODE(_0452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1258__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1235__A2 (.DIODE(net130),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1258__A2 (.DIODE(net140),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1235__B2 (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1258__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1236__A2 (.DIODE(net131),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1258__B2 (.DIODE(net209),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1236__B2 (.DIODE(net200),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1259__A (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1237__A2 (.DIODE(net132),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1260__A (.DIODE(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1237__B2 (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1260__B (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1238__A2 (.DIODE(net133),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1260__C (.DIODE(net18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1238__B2 (.DIODE(net202),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1260__D (.DIODE(net17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1239__A (.DIODE(_0450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1261__A (.DIODE(net13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1240__A (.DIODE(_0452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1261__B (.DIODE(net12),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1241__A1 (.DIODE(_0454_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1261__C (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1241__A2 (.DIODE(net134),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1261__D_N (.DIODE(net23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1241__B1 (.DIODE(_0455_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1262__B (.DIODE(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1241__B2 (.DIODE(net203),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1263__A (.DIODE(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1242__A1 (.DIODE(_0454_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1263__B (.DIODE(net19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1242__A2 (.DIODE(net135),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1263__C (.DIODE(net22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1242__B1 (.DIODE(_0455_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1263__D (.DIODE(net21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1242__B2 (.DIODE(net204),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1266__A2 (.DIODE(_0138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1243__A1 (.DIODE(_0454_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1266__B1 (.DIODE(net23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1243__A2 (.DIODE(net136),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1267__A (.DIODE(net153),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1243__B1 (.DIODE(_0455_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1267__B (.DIODE(net154),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1243__B2 (.DIODE(net205),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1267__C (.DIODE(net155),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1244__A1 (.DIODE(_0454_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1267__D (.DIODE(net156),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1244__A2 (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1268__A (.DIODE(net151),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1244__B1 (.DIODE(_0455_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1268__B (.DIODE(net152),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1244__B2 (.DIODE(net175),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1268__C (.DIODE(net150),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1245__A (.DIODE(_0450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1268__D_N (.DIODE(net149),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1246__A (.DIODE(_0452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1269__A (.DIODE(net161),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1247__A2 (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1269__B (.DIODE(net162),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1247__B2 (.DIODE(net176),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1270__A (.DIODE(net164),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1248__A2 (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1270__B (.DIODE(net165),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1248__B2 (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1270__C (.DIODE(net157),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1249__A2 (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1270__D (.DIODE(net158),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1249__B2 (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1271__A (.DIODE(net159),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1250__A2 (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1271__B (.DIODE(net160),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1250__B2 (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1272__A (.DIODE(net164),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1251__A (.DIODE(_0290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1272__B (.DIODE(net165),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1252__A (.DIODE(_0458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1272__C (.DIODE(net161),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1253__A (.DIODE(_0303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1272__D_N (.DIODE(net162),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1254__A (.DIODE(_0460_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1273__A1 (.DIODE(_0458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1255__A1 (.DIODE(_0459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1273__A3 (.DIODE(_0462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1255__A2 (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1274__A (.DIODE(net82),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1255__B1 (.DIODE(_0461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1274__B (.DIODE(net83),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1255__B2 (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1275__A (.DIODE(net81),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1256__A1 (.DIODE(_0459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1275__B_N (.DIODE(net80),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1256__A2 (.DIODE(net112),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1277__A (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1256__B1 (.DIODE(_0461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1277__B (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1256__B2 (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1278__A (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1257__A1 (.DIODE(_0459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1278__B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1257__A2 (.DIODE(net113),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1280__A (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1257__B1 (.DIODE(_0461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1280__B (.DIODE(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1257__B2 (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1280__C (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1258__A1 (.DIODE(_0459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1280__D_N (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1258__A2 (.DIODE(net114),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1281__A3 (.DIODE(_0467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1258__B1 (.DIODE(_0461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1281__A4 (.DIODE(_0470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1258__B2 (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1281__B1 (.DIODE(_0471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1259__A (.DIODE(_0458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1282__A1 (.DIODE(_0293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1260__A (.DIODE(_0460_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1282__A2 (.DIODE(_0464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1261__A2 (.DIODE(net115),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1282__B2 (.DIODE(_0472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1261__B2 (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1283__A (.DIODE(net151),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1262__A2 (.DIODE(net117),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1283__B (.DIODE(net152),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1262__B2 (.DIODE(net186),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1283__C (.DIODE(_0282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1263__A2 (.DIODE(net118),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1283__D (.DIODE(net150),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1263__B2 (.DIODE(net187),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1284__B (.DIODE(net81),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1264__A2 (.DIODE(net119),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1284__D (.DIODE(_0467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1264__B2 (.DIODE(net188),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1285__A1 (.DIODE(_0458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1265__A (.DIODE(_0458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1285__A2 (.DIODE(_0473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1266__A (.DIODE(_0460_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1285__A3 (.DIODE(_0462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1267__A1 (.DIODE(_0464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1285__B1 (.DIODE(_0470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1267__A2 (.DIODE(net120),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1286__A (.DIODE(net313),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1267__B1 (.DIODE(_0465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1287__A (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1268__A1 (.DIODE(_0464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1288__A (.DIODE(_0324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1268__A2 (.DIODE(net121),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1291__A (.DIODE(_0479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1268__B1 (.DIODE(_0465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1292__A1 (.DIODE(_0476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1268__B2 (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1292__B2 (.DIODE(_0480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1269__A1 (.DIODE(_0464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1294__A (.DIODE(net324),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1269__A2 (.DIODE(net122),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1295__A (.DIODE(net291),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1269__B1 (.DIODE(_0465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1297__A1 (.DIODE(_0483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1270__A1 (.DIODE(_0464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1297__B2 (.DIODE(_0480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1270__A2 (.DIODE(net123),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1299__A (.DIODE(net335),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1270__B1 (.DIODE(_0465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1300__A (.DIODE(net302),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1270__B2 (.DIODE(net192),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1302__A (.DIODE(_0479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1271__A (.DIODE(_0458_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1303__A1 (.DIODE(_0487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1272__A (.DIODE(_0460_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1304__B1 (.DIODE(_0490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1273__A1 (.DIODE(_0466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1305__A (.DIODE(net338),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1273__A2 (.DIODE(net124),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1307__A (.DIODE(net305),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1273__B1 (.DIODE(_0467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1308__A (.DIODE(net272),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1274__A1 (.DIODE(_0466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1309__A1 (.DIODE(_0493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1274__A2 (.DIODE(net125),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1311__A (.DIODE(net339),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1274__B1 (.DIODE(_0467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1312__A (.DIODE(net306),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1275__A1 (.DIODE(_0466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1314__A (.DIODE(_0498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1275__A2 (.DIODE(net126),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1315__A (.DIODE(net273),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1275__B1 (.DIODE(_0467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1316__A1 (.DIODE(_0497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1275__B2 (.DIODE(net195),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1317__B1 (.DIODE(_0501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1276__A1 (.DIODE(_0466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1318__A (.DIODE(net340),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1276__A2 (.DIODE(net128),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1319__A (.DIODE(net307),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1276__B1 (.DIODE(_0467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1320__A (.DIODE(net274),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1276__B2 (.DIODE(net197),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1321__A1 (.DIODE(_0503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1277__A (.DIODE(_0289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1322__B1 (.DIODE(_0505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1279__A (.DIODE(_0302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1323__A (.DIODE(net341),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1281__A2 (.DIODE(net129),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1324__A (.DIODE(net308),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1281__B2 (.DIODE(net198),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1325__A (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1282__A2 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1326__A (.DIODE(_0479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1282__B2 (.DIODE(net163),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1327__A1 (.DIODE(_0507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1283__B2 (.DIODE(net166),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1328__B1 (.DIODE(_0510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1284__B2 (.DIODE(net167),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1329__A (.DIODE(net342),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1287__B2 (.DIODE(net168),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1331__A (.DIODE(net309),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1288__B2 (.DIODE(net169),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1332__A (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1289__B2 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1333__A1 (.DIODE(_0513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1290__B2 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1334__B1 (.DIODE(_0515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1293__B2 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1335__A (.DIODE(net343),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1294__B2 (.DIODE(net143),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1336__A (.DIODE(net310),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1295__B2 (.DIODE(net144),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1337__A (.DIODE(_0498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1296__B2 (.DIODE(net145),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1338__A (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1299__B2 (.DIODE(net146),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1339__A1 (.DIODE(_0517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1300__B2 (.DIODE(net147),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1340__B1 (.DIODE(_0520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1301__B2 (.DIODE(net148),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1341__A (.DIODE(net344),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1302__A2 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1342__A (.DIODE(net311),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1302__B2 (.DIODE(net149),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1343__A (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1303__A (.DIODE(_0289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1344__A1 (.DIODE(_0522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1305__A (.DIODE(_0302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1345__B1 (.DIODE(_0524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1307__A2 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1346__A (.DIODE(net314),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1307__B2 (.DIODE(net150),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1347__A (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1308__B2 (.DIODE(net151),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1348__A (.DIODE(net248),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1309__A2 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1349__A (.DIODE(_0312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1309__B2 (.DIODE(net152),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1350__A (.DIODE(_0528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1310__B2 (.DIODE(net153),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1351__A1 (.DIODE(_0526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1313__B2 (.DIODE(net154),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1352__B1 (.DIODE(_0530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1314__B2 (.DIODE(net155),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1353__A (.DIODE(net315),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1315__B2 (.DIODE(net156),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1355__A (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1316__B2 (.DIODE(net157),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1356__A (.DIODE(net249),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1319__B2 (.DIODE(net158),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1357__A1 (.DIODE(_0533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1320__B2 (.DIODE(net159),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1358__B1 (.DIODE(_0535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1321__B2 (.DIODE(net160),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1359__A (.DIODE(net316),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1322__B2 (.DIODE(net161),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1360__A (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1325__A1 (.DIODE(_0486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1361__A (.DIODE(_0498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1325__B1 (.DIODE(_0487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1362__A (.DIODE(net250),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1325__B2 (.DIODE(net162),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1363__A1 (.DIODE(_0537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1326__A1 (.DIODE(_0486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1364__B1 (.DIODE(_0540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1326__B1 (.DIODE(_0487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1365__A (.DIODE(net317),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1326__B2 (.DIODE(net164),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1366__A (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1327__A1 (.DIODE(_0486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1367__A (.DIODE(net251),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1327__B1 (.DIODE(_0487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1368__A1 (.DIODE(_0542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1327__B2 (.DIODE(net165),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1369__B1 (.DIODE(_0544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1328__A1 (.DIODE(_0486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1370__A (.DIODE(net318),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1328__A2 (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1371__A (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1328__B1 (.DIODE(_0487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1372__A (.DIODE(net252),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1328__B2 (.DIODE(net206),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1373__A (.DIODE(_0528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1329__A (.DIODE(_0290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1374__A1 (.DIODE(_0546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1330__A (.DIODE(_0303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1376__A (.DIODE(net319),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1331__A2 (.DIODE(net138),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1377__A (.DIODE(_0308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1331__B2 (.DIODE(net207),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1379__A (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1332__A2 (.DIODE(net139),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1380__A (.DIODE(net253),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1332__B2 (.DIODE(net208),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1381__A1 (.DIODE(_0553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1333__A2 (.DIODE(net140),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1383__A (.DIODE(net320),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1333__B2 (.DIODE(net209),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1384__A (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1334__A (.DIODE(net11),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1385__A (.DIODE(_0498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1335__A (.DIODE(net16),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1386__A (.DIODE(net254),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1335__B (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1387__A1 (.DIODE(_0557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1335__C (.DIODE(net18),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1389__A (.DIODE(net321),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1335__D (.DIODE(net17),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1390__A (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1336__A (.DIODE(net13),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1392__A1 (.DIODE(_0562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1336__B (.DIODE(net12),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1394__A (.DIODE(net322),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1336__C (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1395__A (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1336__D_N (.DIODE(net23),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1397__A (.DIODE(_0528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1338__A (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1398__A1 (.DIODE(_0566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1338__B (.DIODE(net19),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1400__A (.DIODE(net323),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1338__C (.DIODE(net22),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1402__A (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1338__D (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1404__A1 (.DIODE(_0572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1340__A2 (.DIODE(_0138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1406__A (.DIODE(net325),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1340__B1 (.DIODE(net23),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1407__A (.DIODE(net292),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1341__A (.DIODE(net153),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1410__A1 (.DIODE(_0576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1341__B (.DIODE(net154),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1412__A (.DIODE(net326),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1341__C (.DIODE(net155),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1413__A (.DIODE(net293),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1341__D (.DIODE(net156),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1415__A1 (.DIODE(_0581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1342__A (.DIODE(net151),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1417__A (.DIODE(net327),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1342__B (.DIODE(net152),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1418__A (.DIODE(net294),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1342__C (.DIODE(net150),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1420__A (.DIODE(_0528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1342__D_N (.DIODE(net149),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1421__A1 (.DIODE(_0585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1343__A (.DIODE(net161),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1423__A (.DIODE(net328),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1343__B (.DIODE(net162),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1425__A (.DIODE(net295),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1344__A (.DIODE(net164),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1427__A1 (.DIODE(_0591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1344__B (.DIODE(net165),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1429__A (.DIODE(net329),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1344__C (.DIODE(net157),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1430__A (.DIODE(net296),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1344__D (.DIODE(net158),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1433__A1 (.DIODE(_0595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1345__A (.DIODE(net159),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1435__A (.DIODE(net330),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1345__B (.DIODE(net160),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1436__A (.DIODE(net297),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1346__A (.DIODE(net164),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1438__A1 (.DIODE(_0600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1346__B (.DIODE(net165),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1440__A (.DIODE(net331),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1346__C (.DIODE(net161),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1441__A (.DIODE(net298),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1346__D_N (.DIODE(net162),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1444__A1 (.DIODE(_0604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1347__A1 (.DIODE(_0495_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1446__A (.DIODE(net332),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1347__A3 (.DIODE(_0499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1448__A (.DIODE(net299),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1348__B (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1450__A1 (.DIODE(_0610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1349__A (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1452__A (.DIODE(net333),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1349__B_N (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1453__A (.DIODE(net300),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1355__A3 (.DIODE(_0504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1456__A1 (.DIODE(_0614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1355__A4 (.DIODE(_0507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1458__A (.DIODE(net334),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1355__B1 (.DIODE(_0508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1459__A (.DIODE(net301),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1356__A1 (.DIODE(_0306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1461__A1 (.DIODE(_0619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1356__A2 (.DIODE(_0501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1463__A (.DIODE(net336),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1356__B2 (.DIODE(_0509_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1464__A (.DIODE(net303),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1357__A (.DIODE(net151),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1467__A1 (.DIODE(_0623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1357__B (.DIODE(net152),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1467__B2 (.DIODE(_0625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1357__D (.DIODE(net150),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1469__A (.DIODE(net337),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1358__A (.DIODE(_0281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1470__A (.DIODE(net304),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1358__B (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1472__A1 (.DIODE(_0628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1358__D (.DIODE(_0504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1472__B2 (.DIODE(_0625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1359__A1 (.DIODE(_0495_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1473__A2 (.DIODE(_0310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1359__A2 (.DIODE(_0510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1474__A (.DIODE(_0348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1359__A3 (.DIODE(_0499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1474__B (.DIODE(_0304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1359__B1 (.DIODE(_0507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1475__A (.DIODE(_0350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1359__B2 (.DIODE(_0511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1475__B (.DIODE(_0304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1360__A (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1476__A (.DIODE(_0351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1361__A (.DIODE(_0313_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1476__B (.DIODE(_0304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1362__A (.DIODE(net313),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1477__A (.DIODE(_0302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1363__A (.DIODE(_0352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1479__A (.DIODE(_0352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1364__A (.DIODE(net247),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1480__A (.DIODE(_0353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1365__A (.DIODE(_0319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1481__A (.DIODE(_0355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1366__B2 (.DIODE(_0517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1482__A (.DIODE(_0356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1367__A1 (.DIODE(_0512_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1484__A (.DIODE(_0357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1367__A2 (.DIODE(_0513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1485__A (.DIODE(_0358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1368__A (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1486__A (.DIODE(_0361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1369__A (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1487__A (.DIODE(_0362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1370__A (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1488__A (.DIODE(_0303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1371__B2 (.DIODE(_0517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1490__A (.DIODE(_0363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1372__A1 (.DIODE(_0519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1491__A (.DIODE(_0364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1372__A2 (.DIODE(_0513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1493__A (.DIODE(_0636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1373__A (.DIODE(net302),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1495__A (.DIODE(_0637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1374__A (.DIODE(net335),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1498__A (.DIODE(_0638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1375__A (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1500__A (.DIODE(_0640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1376__A (.DIODE(_0319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1502__A (.DIODE(_0641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1377__B2 (.DIODE(_0526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1504__A (.DIODE(_0642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1378__A1 (.DIODE(_0523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1507__A (.DIODE(_0643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1378__A2 (.DIODE(_0513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1509__A (.DIODE(_0645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1379__A (.DIODE(net305),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1511__A (.DIODE(_0646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1380__A (.DIODE(_0312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1513__A (.DIODE(_0647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1381__A (.DIODE(_0529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1516__A (.DIODE(_0648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1382__A (.DIODE(net338),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1517__A (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1383__A (.DIODE(net272),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1518__A (.DIODE(_0650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1384__B2 (.DIODE(_0526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1519__A (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1385__A1 (.DIODE(_0528_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1520__A (.DIODE(_0651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1386__A (.DIODE(net306),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1521__A (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1387__A (.DIODE(net339),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1522__A (.DIODE(_0652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1389__A (.DIODE(_0536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1523__A (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1390__A (.DIODE(net273),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1524__A (.DIODE(_0303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1391__B2 (.DIODE(_0526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1525__A (.DIODE(_0654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1392__A1 (.DIODE(_0534_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1526__A (.DIODE(_0653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1393__A (.DIODE(net307),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1527__A (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1394__A (.DIODE(net340),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1528__A (.DIODE(_0656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1395__A (.DIODE(net274),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1529__A (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1396__B2 (.DIODE(_0526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1530__A (.DIODE(_0657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1397__A1 (.DIODE(_0540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1531__A (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1398__A (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1532__A (.DIODE(_0658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1399__A (.DIODE(net341),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1534__A (.DIODE(_0654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1400__A (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1535__A (.DIODE(_0659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1402__A (.DIODE(_0547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1537__A (.DIODE(_0661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1404__A1 (.DIODE(_0544_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1538__A (.DIODE(_0306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1405__A (.DIODE(net309),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1539__A (.DIODE(_0307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1406__A (.DIODE(_0529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1540__C (.DIODE(\u_m_wb_stage.m_wbd_adr_i_ff[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1407__A (.DIODE(net342),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1548__A (.DIODE(_0366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1408__A (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1549__A (.DIODE(_0367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1411__A (.DIODE(net310),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1550__A (.DIODE(_0654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1412__A (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1551__A (.DIODE(_0368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1413__A (.DIODE(_0536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1552__A (.DIODE(_0369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1414__A (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1553__A (.DIODE(_0371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1417__A (.DIODE(net311),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1554__A (.DIODE(_0372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1418__A (.DIODE(net344),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1555__A (.DIODE(_0654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1419__A (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1556__A (.DIODE(_0373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1422__A (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1556__B (.DIODE(_0669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1423__A (.DIODE(net314),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1557__A (.DIODE(_0374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1424__A (.DIODE(net248),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1557__B (.DIODE(_0669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1425__A (.DIODE(_0547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1558__A (.DIODE(_0376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1426__B2 (.DIODE(_0567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1558__B (.DIODE(_0669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1428__A (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1559__A (.DIODE(_0377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1429__A (.DIODE(_0529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1559__B (.DIODE(_0669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1430__A (.DIODE(net315),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1560__A (.DIODE(_0302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1431__A (.DIODE(net249),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1561__A (.DIODE(_0670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1432__B2 (.DIODE(_0567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1562__A (.DIODE(_0378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1433__A1 (.DIODE(_0569_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1563__A (.DIODE(_0379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1434__A (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1564__A (.DIODE(_0382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1435__A (.DIODE(net316),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1565__A (.DIODE(_0383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1436__A (.DIODE(_0536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1566__A (.DIODE(_0670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1437__A (.DIODE(net250),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1567__A (.DIODE(_0384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1438__A2 (.DIODE(_0576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1568__A (.DIODE(_0321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1438__B2 (.DIODE(_0567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1569__A (.DIODE(_0327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1439__A1 (.DIODE(_0574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1570__A (.DIODE(_0328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1440__A (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1571__A (.DIODE(_0670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1441__A (.DIODE(net317),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1572__A (.DIODE(_0329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1442__A (.DIODE(net251),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1573__A (.DIODE(_0330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1443__A2 (.DIODE(_0576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1574__A (.DIODE(_0332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1443__B2 (.DIODE(_0567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1575__A (.DIODE(_0333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1444__A1 (.DIODE(_0579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1576__A (.DIODE(_0670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1445__A (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1577__A (.DIODE(_0334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1446__A (.DIODE(net318),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1578__A (.DIODE(_0335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1447__A (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1579__A (.DIODE(_0337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1448__A (.DIODE(_0547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1580__A (.DIODE(_0338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1449__A2 (.DIODE(_0576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1581__A (.DIODE(_0303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1450__A1 (.DIODE(_0583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1582__A (.DIODE(_0339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1451__A (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1583__A (.DIODE(_0340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1452__A (.DIODE(_0312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1584__A (.DIODE(_0343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1453__A (.DIODE(_0589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1585__A (.DIODE(_0344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1454__A (.DIODE(net319),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1586__A (.DIODE(_0345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1455__A (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1587__A (.DIODE(_0346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1456__A2 (.DIODE(_0576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1588__A (.DIODE(_0314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1457__A1 (.DIODE(_0588_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1589__A (.DIODE(_0348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1458__A (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1589__B (.DIODE(_0676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1459__A (.DIODE(net320),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1590__A (.DIODE(_0350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1460__A (.DIODE(_0536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1590__B (.DIODE(_0676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1461__A (.DIODE(net254),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1591__A (.DIODE(_0351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1463__A1 (.DIODE(_0594_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1591__B (.DIODE(_0676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1464__A (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1592__A (.DIODE(_0352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1465__A (.DIODE(net321),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1592__B (.DIODE(_0676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1466__A (.DIODE(net255),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1593__A (.DIODE(_0314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1468__A1 (.DIODE(_0599_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1594__A (.DIODE(_0353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1469__A (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1595__A (.DIODE(_0355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1470__A (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1596__A (.DIODE(_0356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1471__A (.DIODE(net256),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1597__A (.DIODE(_0357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1472__A (.DIODE(_0547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1598__A (.DIODE(_0625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1474__A1 (.DIODE(_0603_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1599__A (.DIODE(_0678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1475__A (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1600__A (.DIODE(_0358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1476__A (.DIODE(_0589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1601__A (.DIODE(_0361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1477__A (.DIODE(net323),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1602__A (.DIODE(_0362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1478__A (.DIODE(net257),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1603__A (.DIODE(_0363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1480__A1 (.DIODE(_0608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1604__A (.DIODE(_0678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1481__A (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1605__A (.DIODE(_0364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1482__A (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1606__A (.DIODE(_0636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1483__A (.DIODE(_0351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1607__A (.DIODE(_0637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1486__A1 (.DIODE(_0613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1608__A (.DIODE(_0638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1487__A (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1609__A (.DIODE(_0678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1488__A (.DIODE(net326),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1610__A (.DIODE(_0640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1489__A (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1611__A (.DIODE(_0641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1491__A1 (.DIODE(_0618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1612__A (.DIODE(_0642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1492__A (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1613__A (.DIODE(_0643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1493__A (.DIODE(net327),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1614__A (.DIODE(_0678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1497__A1 (.DIODE(_0622_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1615__A (.DIODE(_0645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1498__A (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1616__A (.DIODE(_0646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1499__A (.DIODE(_0589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1617__A (.DIODE(_0647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1500__A (.DIODE(net328),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1618__A (.DIODE(_0648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1503__A1 (.DIODE(_0627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1619__A (.DIODE(_0625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1504__A (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1620__A (.DIODE(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1505__A (.DIODE(net329),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1621__A (.DIODE(_0650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1506__A (.DIODE(_0351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1622__A (.DIODE(_0651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1509__A1 (.DIODE(_0632_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1623__A (.DIODE(_0652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1510__A (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1624__A (.DIODE(_0653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1511__A (.DIODE(net330),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1625__A (.DIODE(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1514__A1 (.DIODE(_0637_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1626__A (.DIODE(_0656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1515__A (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1627__A (.DIODE(_0657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1516__A (.DIODE(net331),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1628__A (.DIODE(_0658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1520__A1 (.DIODE(_0641_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1629__A (.DIODE(_0659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1521__A (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1630__A (.DIODE(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1522__A (.DIODE(_0589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1631__A (.DIODE(_0661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1523__A (.DIODE(net332),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1631__B (.DIODE(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1526__A1 (.DIODE(_0646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1632__A (.DIODE(_0366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1526__B1 (.DIODE(_0650_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1632__B (.DIODE(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1527__A (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1633__A (.DIODE(_0367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1528__A (.DIODE(net333),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1633__B (.DIODE(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1529__A (.DIODE(_0351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1634__A (.DIODE(_0368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1532__A1 (.DIODE(_0651_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1634__B (.DIODE(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1532__B1 (.DIODE(_0655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1635__A (.DIODE(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1533__A (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1636__A (.DIODE(_0369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1534__A (.DIODE(net334),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1636__B (.DIODE(_0687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1537__A1 (.DIODE(_0656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1637__A (.DIODE(_0371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1537__B1 (.DIODE(_0659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1637__B (.DIODE(_0687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1538__A (.DIODE(net303),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1638__A (.DIODE(_0372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1539__A (.DIODE(net336),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1638__B (.DIODE(_0687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1542__B2 (.DIODE(_0663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1639__A (.DIODE(_0373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1543__A1 (.DIODE(_0660_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1639__B (.DIODE(_0687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1544__A (.DIODE(net304),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1640__A (.DIODE(_0479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1545__A (.DIODE(net337),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1642__A (.DIODE(_0374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1547__B2 (.DIODE(_0663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1643__A (.DIODE(_0376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1548__A1 (.DIODE(_0665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1644__A (.DIODE(_0377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1548__A2 (.DIODE(_0314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1645__A (.DIODE(_0378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1550__A (.DIODE(_0349_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1647__A (.DIODE(_0379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1551__A (.DIODE(_0355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1648__A (.DIODE(_0382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1552__A (.DIODE(_0356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1649__A (.DIODE(_0383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1553__A (.DIODE(_0357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1650__A (.DIODE(_0384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1554__A (.DIODE(\u_m_wb_stage.m_wbd_sel_i_ff[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1652__A (.DIODE(_0321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1556__A (.DIODE(_0670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1653__A (.DIODE(_0327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1557__A (.DIODE(\u_m_wb_stage.m_wbd_sel_i_ff[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1654__A (.DIODE(_0328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1558__A (.DIODE(_0672_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1655__A (.DIODE(_0329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1559__A (.DIODE(\u_m_wb_stage.m_wbd_sel_i_ff[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1657__A (.DIODE(_0330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1560__A (.DIODE(_0673_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1658__A (.DIODE(_0332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1561__A (.DIODE(_0358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1659__A (.DIODE(_0333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1562__A (.DIODE(_0308_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1660__A (.DIODE(_0334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1565__A (.DIODE(_0360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1661__A (.DIODE(_0480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1566__A (.DIODE(_0361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1662__A (.DIODE(_0335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1567__A (.DIODE(_0362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1663__A (.DIODE(_0337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1568__A (.DIODE(_0363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1664__A (.DIODE(_0338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1570__A (.DIODE(_0366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1665__A (.DIODE(_0339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1572__A (.DIODE(_0678_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1666__A (.DIODE(_0480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1574__A (.DIODE(_0679_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1667__A (.DIODE(_0340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1576__A (.DIODE(_0680_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1668__A (.DIODE(_0343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1579__A (.DIODE(_0681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1669__A (.DIODE(_0344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1581__A (.DIODE(_0683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1670__A (.DIODE(_0345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1583__A (.DIODE(_0684_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1671__A (.DIODE(_0346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1585__A (.DIODE(_0685_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1671__B (.DIODE(_0314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1588__A (.DIODE(_0686_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1672__A (.DIODE(_0348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1590__A (.DIODE(_0688_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1673__A (.DIODE(_0350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1592__A (.DIODE(_0689_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1674__A (.DIODE(_0351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1594__A (.DIODE(_0690_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1676__A (.DIODE(_0352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1597__A (.DIODE(_0692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1677__A (.DIODE(_0353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1598__A (.DIODE(_0691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1678__A (.DIODE(_0355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1600__A (.DIODE(_0694_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1679__A (.DIODE(_0356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1602__A (.DIODE(_0695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1681__A (.DIODE(_0357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1604__A (.DIODE(_0696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1682__A (.DIODE(_0358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1606__A (.DIODE(_0692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1683__A (.DIODE(_0361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1607__A (.DIODE(_0697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1684__A (.DIODE(_0362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1609__A (.DIODE(_0699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1685__A (.DIODE(_0324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1611__A (.DIODE(_0700_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1687__A (.DIODE(_0363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1613__A (.DIODE(_0701_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1687__B (.DIODE(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1615__A (.DIODE(_0692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1688__A (.DIODE(_0364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1616__A (.DIODE(_0702_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1688__B (.DIODE(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1617__A (.DIODE(_0311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1689__A (.DIODE(_0366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1618__A (.DIODE(_0316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1689__B (.DIODE(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1623__A (.DIODE(_0367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1690__A (.DIODE(_0367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1624__A (.DIODE(_0368_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1690__B (.DIODE(_0698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1625__A (.DIODE(_0369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1692__A (.DIODE(_0368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1626__A (.DIODE(_0692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1693__A (.DIODE(_0369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1627__A (.DIODE(_0371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1694__A (.DIODE(_0371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1628__A (.DIODE(_0372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1695__A (.DIODE(_0372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1629__A (.DIODE(_0373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1697__A (.DIODE(_0373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1630__A (.DIODE(_0374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1698__A (.DIODE(_0374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1631__A (.DIODE(_0308_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1699__A (.DIODE(_0376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1633__A (.DIODE(_0376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1700__A (.DIODE(_0377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1634__A (.DIODE(_0377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1702__A (.DIODE(_0378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1635__A (.DIODE(_0378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1703__A (.DIODE(_0379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1636__A (.DIODE(_0379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1704__A (.DIODE(_0382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1638__A (.DIODE(_0381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1705__A (.DIODE(_0383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1639__A (.DIODE(_0382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1706__A (.DIODE(_0384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1640__A (.DIODE(_0383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1707__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1641__A (.DIODE(_0384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1707__A2 (.DIODE(net142),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1643__A (.DIODE(_0326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1707__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1644__A (.DIODE(_0328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1707__B2 (.DIODE(net211),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1645__A (.DIODE(_0329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1708__A1 (.DIODE(\u_wb_arb.state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1646__A (.DIODE(_0330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1708__A2 (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1648__A (.DIODE(_0331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1708__B1 (.DIODE(\u_wb_arb.state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1649__A (.DIODE(_0333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1709__A2 (.DIODE(_0280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1650__A (.DIODE(_0334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1709__B2 (.DIODE(_0710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1651__A (.DIODE(_0335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1725__A0 (.DIODE(_0141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1653__A (.DIODE(_0336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1725__A1 (.DIODE(net214),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1654__A (.DIODE(_0339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1725__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1655__A (.DIODE(_0340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1726__A0 (.DIODE(_0142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1656__A (.DIODE(_0341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1726__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1658__A (.DIODE(_0342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1727__A0 (.DIODE(_0143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1659__A (.DIODE(_0344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1727__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1660__A (.DIODE(_0345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1728__A0 (.DIODE(_0144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1661__A (.DIODE(_0346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1728__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1662__A (.DIODE(_0347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1729__A0 (.DIODE(_0145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1663__A (.DIODE(_0320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1729__A1 (.DIODE(net240),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1664__A (.DIODE(_0349_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1729__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1664__B (.DIODE(_0714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1730__A0 (.DIODE(_0146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1665__A (.DIODE(_0355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1730__A1 (.DIODE(net241),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1665__B (.DIODE(_0714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1730__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1666__A (.DIODE(_0356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1731__A0 (.DIODE(_0147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1666__B (.DIODE(_0714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1731__A1 (.DIODE(net242),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1667__A (.DIODE(_0357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1731__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1667__B (.DIODE(_0714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1732__A0 (.DIODE(_0148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1668__A (.DIODE(_0320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1732__A1 (.DIODE(net243),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1669__A (.DIODE(_0670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1732__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1670__A (.DIODE(_0672_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1733__A0 (.DIODE(_0149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1671__A (.DIODE(_0673_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1733__A1 (.DIODE(net244),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1672__A (.DIODE(_0358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1733__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1673__A (.DIODE(_0663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1734__A0 (.DIODE(_0150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1674__A (.DIODE(_0716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1734__A1 (.DIODE(net245),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1675__A (.DIODE(_0360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1734__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1676__A (.DIODE(_0361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1735__A0 (.DIODE(_0151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1677__A (.DIODE(_0362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1735__A1 (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1678__A (.DIODE(_0363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1735__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1679__A (.DIODE(_0716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1736__A0 (.DIODE(_0152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1680__A (.DIODE(_0366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1736__A1 (.DIODE(net216),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1681__A (.DIODE(_0678_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1736__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1682__A (.DIODE(_0679_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1737__A0 (.DIODE(_0153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1683__A (.DIODE(_0680_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1737__A1 (.DIODE(net217),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1684__A (.DIODE(_0716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1737__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1685__A (.DIODE(_0681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1738__A0 (.DIODE(_0154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1686__A (.DIODE(_0683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1738__A1 (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1687__A (.DIODE(_0684_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1738__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1688__A (.DIODE(_0685_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1739__A0 (.DIODE(_0155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1689__A (.DIODE(_0716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1739__A1 (.DIODE(net219),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1690__A (.DIODE(_0686_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1739__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1691__A (.DIODE(_0688_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1740__A0 (.DIODE(_0156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1692__A (.DIODE(_0689_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1740__A1 (.DIODE(net220),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1693__A (.DIODE(_0690_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1740__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1694__A (.DIODE(_0663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1741__A1 (.DIODE(net221),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1695__A (.DIODE(_0721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1741__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1696__A (.DIODE(_0691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1742__A0 (.DIODE(_0158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1697__A (.DIODE(_0694_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1742__A1 (.DIODE(net222),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1698__A (.DIODE(_0695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1742__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1699__A (.DIODE(_0696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1743__A0 (.DIODE(_0159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1700__A (.DIODE(_0721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1743__A1 (.DIODE(net223),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1701__A (.DIODE(_0697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1743__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1702__A (.DIODE(_0699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1744__A0 (.DIODE(_0160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1703__A (.DIODE(_0700_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1744__A1 (.DIODE(net224),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1704__A (.DIODE(_0701_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1744__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1705__A (.DIODE(_0721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1745__A0 (.DIODE(_0161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1706__A (.DIODE(_0702_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1745__A1 (.DIODE(net226),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1706__B (.DIODE(_0724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1745__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1707__A (.DIODE(_0367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1746__A0 (.DIODE(_0162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1707__B (.DIODE(_0724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1746__A1 (.DIODE(net227),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1708__A (.DIODE(_0368_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1746__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1708__B (.DIODE(_0724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1747__A0 (.DIODE(_0163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1709__A (.DIODE(_0369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1747__A1 (.DIODE(net228),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1709__B (.DIODE(_0724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1747__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1710__A (.DIODE(_0721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1748__A1 (.DIODE(net229),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1711__A (.DIODE(_0371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1748__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1711__B (.DIODE(_0725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1749__A0 (.DIODE(_0165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1712__A (.DIODE(_0372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1749__A1 (.DIODE(net230),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1712__B (.DIODE(_0725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1749__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1713__A (.DIODE(_0373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1750__A0 (.DIODE(_0166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1713__B (.DIODE(_0725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1750__A1 (.DIODE(net231),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1714__A (.DIODE(_0374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1750__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1714__B (.DIODE(_0725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1751__A0 (.DIODE(_0167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1715__A (.DIODE(_0319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1751__A1 (.DIODE(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1717__A (.DIODE(_0376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1751__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1717__B (.DIODE(_0727_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1752__A0 (.DIODE(_0168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1718__A (.DIODE(_0377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1752__A1 (.DIODE(net233),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1718__B (.DIODE(_0727_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1752__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1719__A (.DIODE(_0378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1753__A1 (.DIODE(net234),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1719__B (.DIODE(_0727_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1753__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1720__A (.DIODE(_0379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1754__A1 (.DIODE(net235),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1720__B (.DIODE(_0727_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1754__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1722__A (.DIODE(_0381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1755__A1 (.DIODE(net237),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1722__B (.DIODE(_0728_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1755__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1723__A (.DIODE(_0382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1756__A0 (.DIODE(_0172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1723__B (.DIODE(_0728_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1756__A1 (.DIODE(net238),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1724__A (.DIODE(_0383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1756__S (.DIODE(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1724__B (.DIODE(_0728_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1757__A0 (.DIODE(_0175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1725__A (.DIODE(_0384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1757__A1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1725__B (.DIODE(_0728_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1757__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1727__A (.DIODE(_0326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1758__A1 (.DIODE(net73),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1728__A (.DIODE(_0328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1758__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1729__A (.DIODE(_0329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1759__A1 (.DIODE(_0138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1730__A (.DIODE(_0330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1759__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1732__A (.DIODE(_0331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1760__A1 (.DIODE(_0135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1733__A (.DIODE(_0333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1760__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1734__A (.DIODE(_0334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1761__A1 (.DIODE(net71),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1735__A (.DIODE(_0335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1761__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1736__A (.DIODE(_0517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1762__A1 (.DIODE(net70),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1737__A (.DIODE(_0336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1762__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1738__A (.DIODE(_0339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1763__A1 (.DIODE(net69),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1739__A (.DIODE(_0340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1763__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1740__A (.DIODE(_0341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1764__A1 (.DIODE(net68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1741__A (.DIODE(_0517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1764__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1742__A (.DIODE(_0342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1765__A0 (.DIODE(_0125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1743__A (.DIODE(_0344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1765__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1744__A (.DIODE(_0345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1766__A0 (.DIODE(_0123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1745__A (.DIODE(_0346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1766__A1 (.DIODE(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1746__A (.DIODE(_0347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1766__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1746__B (.DIODE(_0320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1767__A0 (.DIODE(_0121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1747__A (.DIODE(_0349_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1767__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1747__B (.DIODE(_0348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1768__A0 (.DIODE(_0119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1748__A (.DIODE(_0355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1768__A1 (.DIODE(net23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1748__B (.DIODE(_0348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1768__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1749__A (.DIODE(_0356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1769__A1 (.DIODE(net22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1749__B (.DIODE(_0348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1769__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1751__A (.DIODE(_0357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1770__A1 (.DIODE(net21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1752__A (.DIODE(_0670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1770__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1753__A (.DIODE(_0672_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1771__A1 (.DIODE(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1754__A (.DIODE(_0673_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1771__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1755__A (.DIODE(_0313_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1772__A1 (.DIODE(net19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1756__A (.DIODE(_0734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1772__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1757__A (.DIODE(_0358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1773__A1 (.DIODE(net18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1758__A (.DIODE(_0360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1773__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1759__A (.DIODE(_0361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1774__A1 (.DIODE(net17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1760__A (.DIODE(_0362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1774__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1761__A (.DIODE(_0734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1775__A1 (.DIODE(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1762__A (.DIODE(_0363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1775__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1762__B (.DIODE(_0736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1776__A1 (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1763__A (.DIODE(_0366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1776__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1763__B (.DIODE(_0736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1777__A0 (.DIODE(_0101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1764__A (.DIODE(_0367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1777__A1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1764__B (.DIODE(_0736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1777__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1765__A (.DIODE(_0368_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1778__A0 (.DIODE(_0099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1765__B (.DIODE(_0736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1778__A1 (.DIODE(net13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1766__A (.DIODE(_0734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1778__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1767__A (.DIODE(_0369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1779__A0 (.DIODE(_0097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1768__A (.DIODE(_0371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1779__A1 (.DIODE(net12),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1769__A (.DIODE(_0372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1779__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1770__A (.DIODE(_0373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1780__A0 (.DIODE(_0095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1771__A (.DIODE(_0734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1780__A1 (.DIODE(net11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1772__A (.DIODE(_0374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1780__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1773__A (.DIODE(_0376_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1781__A1 (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1774__A (.DIODE(_0377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1781__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1775__A (.DIODE(_0378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1782__A1 (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1776__A (.DIODE(_0529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1782__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1777__A (.DIODE(_0379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1783__A1 (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1777__B (.DIODE(_0739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1783__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1778__A (.DIODE(_0381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1784__A1 (.DIODE(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1778__B (.DIODE(_0739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1784__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1779__A (.DIODE(_0382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1785__A1 (.DIODE(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1779__B (.DIODE(_0739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1785__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1780__A (.DIODE(_0383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1786__A1 (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1780__B (.DIODE(_0739_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1786__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1781__A (.DIODE(_0384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1787__A1 (.DIODE(net34),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1781__B (.DIODE(_0513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1787__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1782__A2 (.DIODE(net142),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1788__A1 (.DIODE(net33),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1782__B2 (.DIODE(net211),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1788__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1783__A1 (.DIODE(_0450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1789__A1 (.DIODE(net32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1783__A2 (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1789__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1783__B1 (.DIODE(_0452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1790__A1 (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1783__B2 (.DIODE(net173),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1790__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1784__A1 (.DIODE(_0066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1791__A1 (.DIODE(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1784__B2 (.DIODE(_0749_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1791__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1800__A0 (.DIODE(_0141_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1792__A0 (.DIODE(_0071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1800__A1 (.DIODE(net214),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1792__A1 (.DIODE(net29),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1800__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1792__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1801__A0 (.DIODE(_0142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1793__A0 (.DIODE(_0069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1801__A1 (.DIODE(net225),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1793__A1 (.DIODE(net28),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1801__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1793__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1802__A0 (.DIODE(_0143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1794__A1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1802__A1 (.DIODE(net236),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1794__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1802__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1795__A1 (.DIODE(net60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1803__A0 (.DIODE(_0144_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1795__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1803__A1 (.DIODE(net239),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1796__A1 (.DIODE(net59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1803__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1796__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1804__A0 (.DIODE(_0145_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1797__A1 (.DIODE(net57),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1804__A1 (.DIODE(net240),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1797__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1804__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1798__A0 (.DIODE(_0059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1805__A0 (.DIODE(_0146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1798__A1 (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1805__A1 (.DIODE(net241),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1798__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1805__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1799__A0 (.DIODE(_0057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1806__A0 (.DIODE(_0147_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1799__A1 (.DIODE(net55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1806__A1 (.DIODE(net242),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1799__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1806__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1800__A0 (.DIODE(_0055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1807__A0 (.DIODE(_0148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1800__A1 (.DIODE(net54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1807__A1 (.DIODE(net243),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1800__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1807__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1801__A0 (.DIODE(_0053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1808__A0 (.DIODE(_0149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1801__A1 (.DIODE(net53),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1808__A1 (.DIODE(net244),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1801__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1808__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1802__A1 (.DIODE(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1809__A0 (.DIODE(_0150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1802__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1809__A1 (.DIODE(net245),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1803__A1 (.DIODE(net51),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1809__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1803__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1810__A0 (.DIODE(_0151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1804__A1 (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1810__A1 (.DIODE(net215),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1804__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1810__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1805__A1 (.DIODE(net49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1811__A0 (.DIODE(_0152_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1805__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1811__A1 (.DIODE(net216),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1806__A1 (.DIODE(net48),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1811__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1806__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1812__A0 (.DIODE(_0153_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1807__A1 (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1812__A1 (.DIODE(net217),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1807__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1812__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1808__A0 (.DIODE(_0039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1813__A0 (.DIODE(_0154_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1808__A1 (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1813__A1 (.DIODE(net218),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1808__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1813__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1809__A0 (.DIODE(_0037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1814__A0 (.DIODE(_0155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1809__A1 (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1814__A1 (.DIODE(net219),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1809__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1814__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1810__A0 (.DIODE(_0035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1815__A1 (.DIODE(net220),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1810__A1 (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1815__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1810__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1816__A0 (.DIODE(_0157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1811__A0 (.DIODE(_0033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1816__A1 (.DIODE(net221),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1811__A1 (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1816__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1811__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1817__A0 (.DIODE(_0158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1812__A1 (.DIODE(net41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1817__A1 (.DIODE(net222),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1812__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1817__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1813__A1 (.DIODE(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1818__A0 (.DIODE(_0159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1813__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1818__A1 (.DIODE(net223),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1814__A1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1818__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1814__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1819__A0 (.DIODE(_0160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1815__A1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1819__A1 (.DIODE(net224),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1815__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1819__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1816__A1 (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1820__A0 (.DIODE(_0161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1816__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1820__A1 (.DIODE(net226),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1817__A1 (.DIODE(net67),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1820__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1817__S (.DIODE(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1821__A0 (.DIODE(_0162_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1818__A1 (.DIODE(net66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1821__A1 (.DIODE(net227),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1818__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1821__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1819__A1 (.DIODE(net65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1822__A0 (.DIODE(_0163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1819__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1822__A1 (.DIODE(net228),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1820__A0 (.DIODE(_0015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1822__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1820__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1823__A0 (.DIODE(_0164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1821__A0 (.DIODE(_0013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1823__A1 (.DIODE(net229),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1821__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1823__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1822__A0 (.DIODE(_0011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1824__A0 (.DIODE(_0165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1822__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1824__A1 (.DIODE(net230),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1823__A0 (.DIODE(_0009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1824__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1823__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1825__A0 (.DIODE(_0166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1824__A0 (.DIODE(_0007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1825__A1 (.DIODE(net231),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1824__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1825__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1825__A0 (.DIODE(_0005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1826__A0 (.DIODE(_0167_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1825__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1826__A1 (.DIODE(net232),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1826__A0 (.DIODE(_0003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1826__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1826__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1827__A0 (.DIODE(_0168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1827__A0 (.DIODE(_0183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1827__A1 (.DIODE(net233),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1827__A1 (.DIODE(_0181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -7177,967 +8242,862 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1828__A0 (.DIODE(_0169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1828__A1 (.DIODE(_0177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1828__A1 (.DIODE(net234),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1828__S (.DIODE(net670),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1828__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1829__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1829__A0 (.DIODE(_0170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1829__SET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1829__A1 (.DIODE(net235),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1830__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1829__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1830__D (.DIODE(_0001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1830__A0 (.DIODE(_0171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1830__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1830__A1 (.DIODE(net237),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1831__CLK (.DIODE(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1830__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1831__D (.DIODE(_0002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1831__A0 (.DIODE(_0172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1831__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1831__A1 (.DIODE(net238),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1832__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1831__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1832__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1832__A0 (.DIODE(_0175_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1833__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1832__A1 (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1833__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1832__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1834__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1833__A1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1834__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1833__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1835__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1834__A0 (.DIODE(_0139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1835__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1834__A1 (.DIODE(_0138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1836__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1834__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1836__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1835__A0 (.DIODE(_0136_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1837__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1835__A1 (.DIODE(_0135_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1837__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1835__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1838__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1836__A1 (.DIODE(net71),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1838__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1836__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1839__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1837__A1 (.DIODE(net70),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1839__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1837__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1840__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1838__A1 (.DIODE(net69),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1840__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1838__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1841__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1839__A0 (.DIODE(_0127_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1841__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1839__A1 (.DIODE(net68),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1842__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1839__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1842__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1840__A0 (.DIODE(_0125_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1843__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1840__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1843__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1841__A0 (.DIODE(_0123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1844__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1841__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1844__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1842__A0 (.DIODE(_0121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1845__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1842__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1845__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1843__A1 (.DIODE(net23),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1846__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1843__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1846__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1844__A1 (.DIODE(net22),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1847__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1844__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1847__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1845__A1 (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1848__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1845__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1848__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1846__A1 (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1849__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1846__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1849__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1847__A1 (.DIODE(net19),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1850__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1847__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1850__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1848__A1 (.DIODE(net18),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1851__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1848__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1851__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1849__A1 (.DIODE(net17),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1852__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1849__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1852__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1850__A1 (.DIODE(net16),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1853__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1850__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1853__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1851__A1 (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1854__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1851__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1854__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1852__A1 (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1855__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1852__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1855__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1853__A1 (.DIODE(net13),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1856__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1853__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1856__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1854__A1 (.DIODE(net12),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1857__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1854__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1857__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1855__A1 (.DIODE(net11),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1858__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1855__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1858__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1856__A1 (.DIODE(net10),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1859__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1856__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1859__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1857__A1 (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1860__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1857__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1860__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1858__A1 (.DIODE(net8),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1861__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1858__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1861__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1859__A0 (.DIODE(_0087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1862__CLK (.DIODE(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1859__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1862__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1860__A0 (.DIODE(_0085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1863__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1860__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1863__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1861__A0 (.DIODE(_0083_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1864__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1861__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1864__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1862__A0 (.DIODE(_0081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1865__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1862__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1865__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1863__A0 (.DIODE(_0079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1866__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1863__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1866__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1864__A0 (.DIODE(_0077_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1867__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1864__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1867__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1865__A0 (.DIODE(_0075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1868__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1865__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1868__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1866__A0 (.DIODE(_0073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1869__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1866__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1869__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1867__A0 (.DIODE(_0071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1870__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1867__A1 (.DIODE(net29),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1870__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1867__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1871__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1868__A1 (.DIODE(net28),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1871__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1868__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1872__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1869__A1 (.DIODE(net25),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1872__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1869__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1873__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1870__A0 (.DIODE(_0065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1873__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1870__A1 (.DIODE(net60),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1874__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1870__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1874__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1871__A0 (.DIODE(_0063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1875__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1871__A1 (.DIODE(net59),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1875__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1871__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1876__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1872__A1 (.DIODE(net57),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1876__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1872__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1877__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1873__A1 (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1877__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1873__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1878__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1874__A1 (.DIODE(net55),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1878__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1874__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1879__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1875__A1 (.DIODE(net54),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1879__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1875__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1880__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1876__A1 (.DIODE(net53),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1880__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1876__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1881__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1877__A1 (.DIODE(net52),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1881__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1877__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1882__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1878__A1 (.DIODE(net51),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1882__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1878__S (.DIODE(_0178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1879__A1 (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1879__S (.DIODE(net667),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1880__A1 (.DIODE(net49),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1880__S (.DIODE(net667),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1881__A1 (.DIODE(net48),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1881__S (.DIODE(net667),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1882__A1 (.DIODE(net46),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1882__S (.DIODE(net667),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1883__A1 (.DIODE(net45),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1883__S (.DIODE(net667),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1884__A0 (.DIODE(_0037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1884__A1 (.DIODE(net44),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1884__S (.DIODE(net667),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1885__A1 (.DIODE(net43),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1885__S (.DIODE(_0178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1886__A0 (.DIODE(_0033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1886__A1 (.DIODE(net42),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1886__S (.DIODE(_0178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1887__A0 (.DIODE(_0031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1887__A1 (.DIODE(net41),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1887__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1883__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1888__A0 (.DIODE(_0029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1883__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1888__A1 (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1884__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1888__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1884__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1889__A1 (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1885__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1889__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1885__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1890__A1 (.DIODE(net38),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1886__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1890__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1886__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1891__A1 (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1887__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1891__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1887__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1892__A1 (.DIODE(net67),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1888__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1892__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1888__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1893__A1 (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1889__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1893__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1889__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1894__A1 (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1890__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1894__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1890__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1895__A1 (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1891__CLK (.DIODE(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1895__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1891__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1896__A1 (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1892__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1896__S (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1892__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1897__A0 (.DIODE(_0011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1893__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1897__A1 (.DIODE(net62),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1893__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1897__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1894__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1898__A0 (.DIODE(_0009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1894__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1898__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1895__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1899__A0 (.DIODE(_0007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1895__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1899__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1896__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1900__A0 (.DIODE(_0005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1896__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1900__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1897__CLK (.DIODE(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1901__A0 (.DIODE(_0003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1897__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1901__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1898__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1902__A0 (.DIODE(_0183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1898__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1902__A1 (.DIODE(_0181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1899__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1902__S (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1899__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1903__A0 (.DIODE(_0179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1900__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1903__A1 (.DIODE(_0177_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1900__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1903__S (.DIODE(net667),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1901__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1904__CLK (.DIODE(clknet_3_4_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1901__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1904__SET_B (.DIODE(net668),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1902__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1905__CLK (.DIODE(clknet_3_5_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1902__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1905__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1903__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1906__CLK (.DIODE(clknet_3_6_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1903__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1906__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1904__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1907__CLK (.DIODE(clknet_3_6_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1904__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1907__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1905__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1908__CLK (.DIODE(clknet_3_7_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1905__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1908__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1906__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1908__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1906__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1909__CLK (.DIODE(clknet_3_7_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1907__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1909__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1907__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1909__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1908__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1910__CLK (.DIODE(clknet_3_7_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1908__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1910__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1909__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1910__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1909__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1911__CLK (.DIODE(clknet_3_7_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1910__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1911__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1910__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1911__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1911__CLK (.DIODE(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1912__CLK (.DIODE(clknet_3_3_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1911__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1912__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1912__CLK (.DIODE(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1912__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1912__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8147,37 +9107,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1913__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1913__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1913__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1914__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1914__CLK (.DIODE(clknet_3_3_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1914__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1914__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1915__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1915__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1915__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1915__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1915__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8187,27 +9137,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1916__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1916__D (.DIODE(_0236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1916__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1916__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1917__CLK (.DIODE(clknet_3_7_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1917__CLK (.DIODE(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1917__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1917__D (.DIODE(_0237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1917__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1917__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8217,7 +9167,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1918__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1918__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8227,72 +9177,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1919__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1919__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1919__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1920__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1920__CLK (.DIODE(clknet_3_4_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1920__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1920__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1921__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1920__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1921__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1921__CLK (.DIODE(clknet_3_4_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1922__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1921__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1922__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1921__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1923__CLK (.DIODE(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1922__CLK (.DIODE(clknet_3_7_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1922__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[14] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1922__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1923__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1923__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1923__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1923__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8302,12 +9227,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1924__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[16] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1924__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1924__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8317,27 +9237,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1925__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1925__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1925__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1926__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1926__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1926__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1926__CLK (.DIODE(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8347,912 +9252,92 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1927__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1928__CLK (.DIODE(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1927__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1928__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1928__CLK (.DIODE(clknet_3_7_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1929__CLK (.DIODE(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1928__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1929__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1928__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1930__CLK (.DIODE(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1929__CLK (.DIODE(clknet_3_6_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1930__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1929__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1931__CLK (.DIODE(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1929__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1931__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1930__CLK (.DIODE(clknet_3_6_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1932__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1930__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1932__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1930__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1933__CLK (.DIODE(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1931__CLK (.DIODE(clknet_3_7_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1933__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1931__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1934__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1931__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1934__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1932__CLK (.DIODE(clknet_3_3_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1935__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1932__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1935__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1932__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1936__CLK (.DIODE(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1933__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1933__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[25] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1933__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1934__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1934__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[26] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1934__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1935__CLK (.DIODE(clknet_3_7_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1935__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1936__CLK (.DIODE(clknet_3_7_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1936__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[28] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1936__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1937__CLK (.DIODE(clknet_3_7_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1937__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[29] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1937__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1938__CLK (.DIODE(clknet_3_7_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1938__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[30] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1938__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1939__CLK (.DIODE(clknet_3_7_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1939__D (.DIODE(\u_m_wb_stage.s_wbd_dat_i[31] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1939__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1940__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1940__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1941__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1941__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1942__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1942__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1943__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1943__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1944__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1944__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1945__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1945__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1946__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1946__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1947__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1947__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1948__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1948__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1949__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1949__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1950__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1950__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1951__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1951__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1952__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1952__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1953__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1953__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1954__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1954__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1955__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1955__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1956__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1956__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1957__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1957__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1958__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1958__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1959__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1959__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1960__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1960__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1961__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1961__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1962__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1962__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1963__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1963__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1964__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1964__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1965__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1965__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1966__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1966__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1967__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1967__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1968__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1968__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1969__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1969__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1970__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1970__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1971__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1971__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1972__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1972__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1973__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1973__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1974__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1974__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1975__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1975__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1976__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1976__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1977__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1977__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1978__CLK (.DIODE(clknet_3_3_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1978__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1979__CLK (.DIODE(clknet_3_2_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1979__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1980__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1980__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1981__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1981__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1982__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1982__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1983__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1983__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1984__CLK (.DIODE(clknet_3_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1984__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1985__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1985__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1986__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1986__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1987__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1987__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1988__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1988__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1989__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1989__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1990__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1990__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1991__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1991__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1992__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1992__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1993__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1993__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1994__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1994__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1995__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1995__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1996__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1996__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1997__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1997__D (.DIODE(_0242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1997__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1998__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1998__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1999__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1999__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2000__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2000__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2001__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2001__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2002__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2002__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2003__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2003__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2004__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2004__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2005__CLK (.DIODE(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2005__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2006__CLK (.DIODE(clknet_3_4_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2006__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2007__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2007__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2008__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2008__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2009__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2009__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2010__CLK (.DIODE(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2010__RESET_B (.DIODE(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2011__CLK (.DIODE(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2011__RESET_B (.DIODE(net686),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1936__RESET_B (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -9267,27 +9352,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_0_1_clk_i_A (.DIODE(clknet_1_0_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_1_0_clk_i_A (.DIODE(clknet_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_1_1_clk_i_A (.DIODE(clknet_1_1_0_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_2_0_0_clk_i_A (.DIODE(clknet_1_0_2_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold18_A (.DIODE(net668),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_2_1_0_clk_i_A (.DIODE(clknet_1_0_2_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold20_A (.DIODE(rst_n),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_2_2_0_clk_i_A (.DIODE(clknet_1_1_2_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_2_3_0_clk_i_A (.DIODE(clknet_1_1_2_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold1_A (.DIODE(net671),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -9912,6 +10002,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_input212_A (.DIODE(rst_n),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_input213_A (.DIODE(s0_wbd_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11087,21 +11182,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output393_A (.DIODE(net393),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output394_A (.DIODE(net394),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output395_A (.DIODE(net395),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output396_A (.DIODE(net396),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11137,6 +11217,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output403_A (.DIODE(net403),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output404_A (.DIODE(net404),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11177,27 +11262,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output415_A (.DIODE(net415),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output413_A (.DIODE(net413),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output416_A (.DIODE(net416),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output424_A (.DIODE(net424),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output417_A (.DIODE(net417),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output431_A (.DIODE(net431),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output419_A (.DIODE(net419),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output429_A (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output433_A (.DIODE(net433),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11207,22 +11287,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output436_A (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output435_A (.DIODE(net435),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output445_A (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output438_A (.DIODE(net438),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output448_A (.DIODE(net448),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output440_A (.DIODE(net440),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output449_A (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output441_A (.DIODE(net441),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output457_A (.DIODE(net457),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output458_A (.DIODE(net458),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output459_A (.DIODE(net459),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output460_A (.DIODE(net460),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output461_A (.DIODE(net461),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11252,7 +11357,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output467_A (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output468_A (.DIODE(net468),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output469_A (.DIODE(net469),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11262,31 +11372,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output472_A (.DIODE(net472),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output473_A (.DIODE(net473),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output474_A (.DIODE(net474),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output475_A (.DIODE(net475),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output476_A (.DIODE(net476),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output477_A (.DIODE(net477),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11337,11 +11427,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output487_A (.DIODE(net487),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output488_A (.DIODE(net488),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11392,11 +11477,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output498_A (.DIODE(net498),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output499_A (.DIODE(net499),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11407,21 +11487,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output501_A (.DIODE(net501),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output502_A (.DIODE(net502),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output503_A (.DIODE(net503),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output504_A (.DIODE(net504),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11562,11 +11627,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output532_A (.DIODE(net532),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output533_A (.DIODE(net533),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11602,47 +11662,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output561_A (.DIODE(net561),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output565_A (.DIODE(net565),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output573_A (.DIODE(net573),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output574_A (.DIODE(net574),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output575_A (.DIODE(net575),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output576_A (.DIODE(net576),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output577_A (.DIODE(net577),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output578_A (.DIODE(net578),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output591_A (.DIODE(net591),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output585_A (.DIODE(net585),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output608_A (.DIODE(net608),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output610_A (.DIODE(net610),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output611_A (.DIODE(net611),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11657,47 +11707,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output619_A (.DIODE(net619),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output615_A (.DIODE(net615),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output620_A (.DIODE(net620),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output616_A (.DIODE(net616),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output621_A (.DIODE(net621),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output622_A (.DIODE(net622),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output636_A (.DIODE(net636),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output623_A (.DIODE(net623),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output637_A (.DIODE(net637),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output624_A (.DIODE(net624),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output638_A (.DIODE(net638),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output630_A (.DIODE(net630),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output639_A (.DIODE(net639),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output640_A (.DIODE(net640),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output641_A (.DIODE(net641),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output631_A (.DIODE(net631),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11712,52 +11752,72 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output644_A (.DIODE(net644),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output645_A (.DIODE(net645),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output646_A (.DIODE(net646),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output647_A (.DIODE(net647),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output648_A (.DIODE(net648),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output649_A (.DIODE(net649),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output650_A (.DIODE(net650),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output651_A (.DIODE(net651),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output652_A (.DIODE(net652),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater667_A (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output653_A (.DIODE(net653),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater668_A (.DIODE(net687),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output654_A (.DIODE(net654),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output655_A (.DIODE(net655),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output663_A (.DIODE(net663),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output664_A (.DIODE(net664),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output665_A (.DIODE(net665),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output666_A (.DIODE(net666),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output667_A (.DIODE(net667),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output668_A (.DIODE(net668),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater670_A (.DIODE(_0178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater671_A (.DIODE(net212),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11837,27 +11897,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1032 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_1037 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11865,11 +11933,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1065 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11877,11 +11941,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1091 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11893,11 +11961,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11905,15 +11973,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11921,11 +11989,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11933,23 +12001,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11957,7 +12025,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_1261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_1278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11965,43 +12037,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_1289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12009,19 +12073,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12029,15 +12089,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_1401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_1419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12049,11 +12109,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12061,7 +12121,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12069,7 +12129,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12093,11 +12153,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12113,23 +12173,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12141,19 +12197,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12161,15 +12213,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1749 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12177,7 +12225,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12189,39 +12241,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1812 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1816 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1831 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12233,23 +12265,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1865 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12257,39 +12285,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1924 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_1933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_1941 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_1944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12297,6 +12337,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_1950 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_1956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12305,27 +12349,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_1980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_1981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1995 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12337,35 +12365,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2017 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_2041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12373,99 +12405,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_2079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_2103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_2127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_2141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_2171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_2177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_2176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_2192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_2234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_2243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_2261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12473,15 +12509,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_2269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12489,31 +12525,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_2299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_2301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_2316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_2337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_2345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_2338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12521,19 +12553,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_2353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_2371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12541,11 +12569,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_2393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_2393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12553,47 +12585,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_2401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_2404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_2422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_2449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_2439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_2472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_2465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_2480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_2477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_2485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12601,27 +12637,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_2493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_2493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_2502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_2516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_2533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_2537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12649,10 +12677,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_2601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12669,6 +12693,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_2633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12749,10 +12777,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12781,10 +12805,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_2881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12797,6 +12817,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_2909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12829,6 +12853,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_2981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12841,18 +12869,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_3009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12865,6 +12885,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_3049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12877,10 +12901,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_3077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12889,6 +12909,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_0_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_3093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12917,7 +12941,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12941,6 +12965,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_3205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12957,10 +12985,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_3245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12981,6 +13005,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_3289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12997,10 +13025,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_3329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13021,6 +13045,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_3373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13057,11 +13085,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13081,10 +13109,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_3501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13113,11 +13137,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13133,10 +13157,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_3609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13145,27 +13165,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_3625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_3637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_3633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_3645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_3665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_3661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_3667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13173,55 +13201,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_3681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_3689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_3693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_3721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_3749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_3751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_3777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_3779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13229,55 +13257,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_3805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_3809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_3829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_3833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_3861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_3855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_3863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3867 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13285,7 +13305,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_3891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_3889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13293,43 +13313,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_3905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_3913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_3917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_3933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_3941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_3941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_3947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_3949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13337,19 +13353,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_3977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_3977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_3987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_3990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_3997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13357,59 +13377,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_4005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_4007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_4019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_4026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_4033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_4047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_4050 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_4061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_4058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4070 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_4074 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4077 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_4080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13425,19 +13433,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_4112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_4119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_4125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13485,11 +13493,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_4252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_4277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13501,11 +13517,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_4311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13513,6 +13529,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_4337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13525,10 +13545,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_4365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13561,7 +13577,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_4437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13569,31 +13585,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_4449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_4453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_4445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_4465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_4477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_4483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_4495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13601,59 +13621,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_4513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_4521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_4541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_4556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_4567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_4574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_4586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_4585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_4593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_4602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_4605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_4608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13661,23 +13681,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_4621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_4641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_4647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_4649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13689,11 +13709,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_4689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_4677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_4689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_4695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_4703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13705,11 +13733,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_4712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13717,7 +13745,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_4736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_4733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13725,11 +13753,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13757,7 +13781,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13765,59 +13793,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_704 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13829,7 +13853,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13837,19 +13861,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13857,11 +13881,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13869,7 +13893,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13877,10 +13901,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13893,7 +13913,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13901,7 +13921,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13917,27 +13937,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_923 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13945,11 +13969,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_969 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13957,27 +13985,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1022 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13989,11 +14013,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14013,10 +14037,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14049,11 +14069,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14065,6 +14085,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14085,6 +14109,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14093,10 +14121,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14113,11 +14137,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_1309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_1309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14129,23 +14153,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_1333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_1363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_1365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14153,23 +14177,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_1421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14181,10 +14209,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14201,67 +14225,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_1501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_1507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_1515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_1510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_1532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_1552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1560 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_1560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_1566 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14269,7 +14293,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14281,31 +14305,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_1607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_1631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_1641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14313,47 +14349,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_1702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_172 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_1723 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14377,18 +14421,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_10_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14405,10 +14453,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14421,6 +14465,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_1869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14461,7 +14509,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1945 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_1945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14469,19 +14517,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_1981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_1986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14489,7 +14533,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14505,10 +14549,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14521,6 +14561,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14541,10 +14585,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14621,11 +14661,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_2281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_2281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14657,6 +14697,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14685,11 +14729,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_2417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_2417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14717,11 +14761,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_2485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_2485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14745,7 +14789,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14785,6 +14829,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_10_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14797,10 +14845,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_2653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14809,6 +14853,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14837,6 +14885,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14845,6 +14897,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14853,10 +14909,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_2771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14877,6 +14929,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_2821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14913,18 +14969,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_2909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14933,6 +14981,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_2933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14969,18 +15021,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_3009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14993,6 +15037,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_10_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_3051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15005,10 +15053,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_3077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15189,7 +15233,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15245,7 +15289,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15273,10 +15317,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15285,7 +15325,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15305,6 +15345,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_3693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15325,10 +15369,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_3737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15337,6 +15377,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_3761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15353,19 +15397,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_3793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_3805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_3805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15409,11 +15449,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_3905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_3905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15469,10 +15509,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_4041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15493,6 +15529,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_4085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15521,11 +15561,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_4153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_4153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15545,27 +15585,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_4197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_4197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_4202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_4209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_4208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_4214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_4221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_4227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_4226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15585,11 +15621,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_4277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_4277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15605,10 +15641,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_4309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15633,10 +15665,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_4365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15657,18 +15685,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_4409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_442 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_4421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15697,11 +15717,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_4489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_4489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15725,10 +15745,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_4545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -15753,7 +15769,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15781,11 +15797,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_4657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_4657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15837,167 +15853,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16009,10 +16025,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16021,6 +16033,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16037,10 +16053,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16049,6 +16061,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16073,6 +16089,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_10_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16085,10 +16105,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16105,6 +16121,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16121,19 +16141,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_993 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16149,10 +16181,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16161,6 +16189,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16177,11 +16209,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16193,10 +16225,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16229,6 +16257,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_11_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16241,7 +16273,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16249,6 +16281,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16273,11 +16309,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16293,18 +16329,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16313,10 +16345,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16337,7 +16365,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16353,10 +16381,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16373,6 +16397,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_1449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16385,10 +16413,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16397,55 +16421,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_1497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_1505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_1511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_1505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_1519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_1517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_1538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_1554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_1542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_1545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_1551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_1557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16453,71 +16489,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_1573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_1606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_1623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_1634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16525,43 +16565,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_1685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_1734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_1725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_11_1733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16577,6 +16621,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16585,10 +16633,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_11_1791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16597,15 +16641,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_1805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16625,55 +16673,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_1897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_1903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_1915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_1949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1941 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_1953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16713,6 +16753,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16741,7 +16785,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16769,6 +16813,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_2177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16785,10 +16833,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16797,6 +16841,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_2233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16809,10 +16857,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16821,6 +16865,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16829,10 +16877,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_11_2295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16841,10 +16885,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16853,6 +16893,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16873,10 +16917,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16885,6 +16925,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_2401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16901,10 +16945,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16913,6 +16953,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_2457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16957,10 +17001,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16969,6 +17009,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_11_2575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16981,10 +17025,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17009,6 +17049,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17017,7 +17061,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17061,6 +17105,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17069,10 +17117,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_2793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17085,10 +17129,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17117,6 +17157,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_2881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17141,11 +17185,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_2937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_2937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17173,11 +17217,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17205,10 +17249,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_3061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17237,6 +17277,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_3129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17257,10 +17301,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17289,6 +17329,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_3241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17313,18 +17357,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_11_3303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17345,10 +17381,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_3353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17377,6 +17409,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_3409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17417,7 +17453,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17465,10 +17501,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_3597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17477,6 +17509,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_3621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17509,6 +17545,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_11_3695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17517,15 +17557,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_3709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17549,6 +17585,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_3765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17573,11 +17613,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_3821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_3821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17601,6 +17641,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_3877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17629,7 +17673,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17661,7 +17705,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17689,10 +17733,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_4057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17745,7 +17785,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17777,6 +17817,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_4249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17797,11 +17841,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_4293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_4293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17817,10 +17861,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_4325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17845,6 +17885,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_4381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17897,7 +17941,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17925,10 +17969,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_4549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17937,6 +17977,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17953,14 +17997,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_4605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17985,6 +18021,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_4661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -17993,11 +18033,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_4685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_4685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18021,10 +18061,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_474 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18033,27 +18069,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18061,35 +18097,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18097,79 +18133,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18189,10 +18229,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18209,7 +18245,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18241,11 +18277,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18261,31 +18297,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_889 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18297,27 +18337,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_942 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18333,15 +18369,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18369,19 +18401,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18393,11 +18425,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18409,10 +18441,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18425,6 +18453,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18437,10 +18469,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18457,6 +18485,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18465,10 +18497,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18489,22 +18517,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_1297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_1309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_12_1315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18525,6 +18549,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_1365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18533,35 +18561,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18569,27 +18589,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18597,63 +18617,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_1507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_1573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_1579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_1583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18665,23 +18697,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18689,67 +18721,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_1627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_1644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_1687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_1695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_1706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_1723 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_1735 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_1747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_1759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18769,10 +18821,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18789,19 +18849,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_1845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_1857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_1865 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_1871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18809,27 +18873,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_1909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_1919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18845,23 +18913,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_1978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_1986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18869,6 +18941,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18893,6 +18969,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18917,6 +18997,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18949,6 +19033,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18965,6 +19053,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -18989,6 +19081,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19005,10 +19101,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_12_2323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19037,10 +19129,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19049,6 +19137,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19069,10 +19165,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_2449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19161,7 +19253,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19189,10 +19281,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_2709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19221,10 +19309,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_12_2771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19281,11 +19365,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_2897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_2897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19333,7 +19417,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19381,11 +19465,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19401,6 +19481,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19437,10 +19521,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_3213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19453,6 +19533,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19493,10 +19577,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_12_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19509,6 +19589,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19553,10 +19637,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19565,6 +19645,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19609,11 +19693,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_3581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_3581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19673,6 +19757,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19701,7 +19789,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19725,6 +19813,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19749,6 +19841,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_3873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19757,10 +19853,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_12_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19781,6 +19873,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_3941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19805,10 +19901,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_3997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_12_4003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19817,10 +19921,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19833,6 +19933,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_4053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19857,10 +19961,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_4109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19877,7 +19977,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19889,6 +19989,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_4165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19905,10 +20009,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_4197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19917,10 +20017,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_4221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19933,6 +20029,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_4241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19957,6 +20057,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_12_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_4297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19969,10 +20073,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_4333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19985,6 +20085,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_4353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -19997,6 +20101,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_4389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20021,11 +20129,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_4445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_4445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20049,6 +20157,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_12_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_4501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20077,6 +20189,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_12_4563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20085,10 +20201,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20097,6 +20209,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_12_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_4601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20141,14 +20261,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20181,131 +20293,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_638 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20333,11 +20437,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20357,6 +20457,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20369,10 +20473,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20385,6 +20485,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20393,15 +20497,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20409,10 +20513,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20425,7 +20525,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20449,19 +20549,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20481,6 +20581,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20497,7 +20601,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20513,35 +20617,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_1113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20581,11 +20689,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20597,6 +20705,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_13_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20609,10 +20721,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20621,10 +20729,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_1281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20637,6 +20741,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_1301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20653,10 +20765,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_13_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20665,6 +20773,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_1357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20673,7 +20785,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20693,23 +20805,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_1449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_1455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20725,15 +20841,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20745,27 +20857,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_1517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_1547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_1538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_1546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_1549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20781,35 +20905,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_1571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_1577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_1615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20817,75 +20945,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_1625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_1632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_1658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20921,51 +21045,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_1805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_1841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_1847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_1867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_1879 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_1885 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_1889 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_1895 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20973,31 +21113,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1905 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_1928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1940 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_1952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21005,7 +21149,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_1976 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_1982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21037,10 +21185,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21069,10 +21213,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_2121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21085,10 +21225,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_2141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21141,10 +21277,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21153,6 +21285,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_2277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21169,11 +21305,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_2309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_2309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21181,11 +21317,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_2333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21213,10 +21349,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_2401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21241,11 +21373,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_2457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_2457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21297,6 +21429,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_13_2575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21305,11 +21441,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_2589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_2589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21357,7 +21493,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21393,7 +21529,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21413,7 +21549,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21445,10 +21581,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_2881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21481,10 +21613,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_2961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21509,10 +21637,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_30 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21537,6 +21661,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21561,6 +21689,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21585,6 +21717,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21593,10 +21729,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_13_3191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21605,6 +21737,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21629,10 +21765,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21645,6 +21777,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21657,10 +21793,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21689,7 +21821,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21733,10 +21865,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_13_3471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21749,6 +21877,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21793,19 +21925,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_3609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_3609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21833,6 +21961,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21853,10 +21985,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21885,10 +22013,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21905,10 +22041,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_383 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21937,11 +22069,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_3901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_3901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21957,10 +22089,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -21969,6 +22097,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_3957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22013,10 +22145,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22069,10 +22197,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22085,10 +22209,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22097,6 +22217,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22117,6 +22241,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22125,7 +22253,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22157,6 +22285,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_4361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22169,6 +22301,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22177,11 +22313,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_4405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_4405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22201,6 +22337,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22209,10 +22349,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_4473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22225,10 +22361,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22237,6 +22369,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22261,6 +22397,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22281,10 +22421,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_4617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22293,6 +22429,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_4641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22317,6 +22457,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_4697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22349,10 +22493,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_13_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22361,119 +22501,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22489,7 +22633,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22497,10 +22641,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22513,6 +22653,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22525,10 +22669,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22541,6 +22681,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22549,10 +22693,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_81 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22565,11 +22705,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22597,10 +22737,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22609,6 +22745,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22625,6 +22765,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22633,10 +22777,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22649,6 +22789,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22673,6 +22817,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22685,59 +22833,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22745,27 +22885,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_1258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22777,6 +22913,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_1285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22785,7 +22925,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22801,27 +22941,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22833,10 +22969,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_1397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22845,7 +22977,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22869,6 +23001,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22893,15 +23029,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_1521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_1526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_1532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_1535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22909,119 +23053,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1560 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_1559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_1588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_1613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_1651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_1706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23033,19 +23173,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_1754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_1762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23053,10 +23193,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23077,19 +23213,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_1854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23101,27 +23237,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1915 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_1927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23137,23 +23277,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1976 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_1983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23161,27 +23301,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_2013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_2010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_2025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_2022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_2034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_2043 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_2042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23197,11 +23337,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_2081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23213,10 +23353,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23257,11 +23393,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_2205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_2205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23285,6 +23421,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_2261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23313,6 +23453,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_14_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_14_2323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23321,10 +23465,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_2337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23353,10 +23493,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_2405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23377,11 +23513,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_2449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_2449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23409,10 +23545,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_2517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23421,7 +23553,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23461,6 +23593,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_14_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_2617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23497,6 +23633,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_2709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23561,11 +23701,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_2853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_2853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23589,7 +23729,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23597,10 +23737,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_2921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23625,7 +23761,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23645,6 +23781,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_14_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23653,6 +23793,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23661,10 +23805,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_14_3051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23677,6 +23817,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23733,11 +23877,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23781,10 +23929,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23797,10 +23941,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_14_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23857,6 +23997,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23865,10 +24009,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23885,6 +24025,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23913,6 +24057,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23921,14 +24069,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_3605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23945,6 +24085,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23953,6 +24097,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_3661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23981,10 +24129,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_14_3723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24001,10 +24145,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24025,7 +24165,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24053,6 +24197,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_14_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24081,10 +24229,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24109,6 +24253,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_14_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_3985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24133,7 +24281,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24153,6 +24301,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_4073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24165,6 +24317,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_4109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24213,18 +24369,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_4209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_4221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24237,6 +24385,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_4241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24269,11 +24421,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_4321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_4321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24297,6 +24449,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_4377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24321,6 +24477,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_4433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24329,10 +24489,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_14_4451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24353,6 +24509,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_4501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24389,10 +24549,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24401,6 +24557,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_4601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24429,6 +24589,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_4669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24445,10 +24609,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24457,6 +24617,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_4725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24473,127 +24637,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_14_4753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_608 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24601,19 +24741,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24629,6 +24765,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24641,11 +24785,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24665,6 +24809,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24701,6 +24849,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_14_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24713,7 +24865,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24741,11 +24893,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24769,18 +24921,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_14_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_15_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24789,27 +24933,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1040 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24821,6 +24969,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24841,71 +24993,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_1272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_1284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24917,6 +25081,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24929,6 +25097,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_15_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24937,27 +25109,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_1397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24965,47 +25133,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_1454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1493 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25021,119 +25185,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_1533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_1544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_1571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_1601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_1618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_1627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_1633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_1677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25141,27 +25305,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_1790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25173,19 +25337,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25197,6 +25357,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25217,31 +25381,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_1946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_1954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25249,23 +25409,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_1973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_2009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_2002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_2015 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25281,10 +25441,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25325,6 +25481,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25337,10 +25497,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_15_2177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25353,6 +25509,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25409,7 +25569,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25605,7 +25765,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25625,10 +25785,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25637,6 +25793,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_15_2793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25645,10 +25805,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25665,6 +25833,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_15_2855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25677,10 +25849,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25705,6 +25873,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25729,6 +25901,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_2993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25777,11 +25953,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_3105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_3105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25809,10 +25985,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25841,7 +26013,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25869,10 +26041,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_15_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25929,10 +26097,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_34 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_15_3409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26113,10 +26277,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_15_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26185,6 +26345,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_3933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26213,6 +26377,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26237,6 +26405,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_15_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26257,7 +26429,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26277,6 +26449,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_15_4143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26289,10 +26465,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26317,10 +26489,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26345,10 +26513,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26393,6 +26557,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26401,10 +26569,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26425,6 +26589,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26445,7 +26613,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26501,10 +26669,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_4617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26557,7 +26721,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26569,11 +26733,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26589,71 +26757,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26673,10 +26829,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_15_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26693,6 +26845,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26721,10 +26877,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26749,7 +26901,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26765,35 +26917,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26825,6 +26977,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_15_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26857,27 +27013,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1093 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26885,19 +27045,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_1138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26909,6 +27077,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26929,7 +27101,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26941,6 +27113,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26969,6 +27145,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_1309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27025,10 +27205,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_1421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27041,6 +27217,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_1441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27069,10 +27249,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_1509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27081,10 +27257,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_1533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27097,43 +27269,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_1561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_1583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_1579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_1607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_1613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_1619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27141,15 +27325,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_1629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_1639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27157,31 +27337,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_16_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27193,7 +27377,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_1733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_1739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27213,10 +27401,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27225,6 +27409,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27257,31 +27445,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_16_1875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_1916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_1925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27293,19 +27485,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1945 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_1945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27313,11 +27505,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_1986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27361,7 +27553,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27393,6 +27585,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_16_2155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27557,6 +27753,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_16_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_2505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27573,7 +27773,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27617,10 +27817,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_2629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27629,6 +27825,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_2653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27645,10 +27845,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_2685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27657,10 +27853,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_2709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27689,6 +27881,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_16_2771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27725,6 +27921,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_2853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27737,6 +27937,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_16_2883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27757,10 +27961,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_2921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27773,6 +27973,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_2941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27789,10 +27993,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_2989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27805,10 +28005,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_3009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27821,10 +28017,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_3045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27837,6 +28029,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_3065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27957,7 +28153,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27993,10 +28189,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_3401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28117,10 +28309,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_3661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28169,10 +28357,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_377 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_3773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28221,11 +28405,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_3885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_3885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28249,6 +28433,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_3941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28277,6 +28465,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_16_4003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28285,10 +28477,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28325,7 +28513,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28341,11 +28529,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_4129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_4129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28373,10 +28561,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_4197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28385,7 +28569,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28401,6 +28585,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_4241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28429,6 +28617,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_4309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28437,10 +28629,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_4333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28477,6 +28665,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_4409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28493,10 +28685,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_16_4451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28513,11 +28701,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_4489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_4489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28541,6 +28729,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_4545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28557,11 +28749,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_4577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28573,6 +28765,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_16_4613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28601,6 +28797,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_16_4675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28613,10 +28813,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28649,31 +28845,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28689,43 +28897,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_620 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28733,11 +28937,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28785,11 +28989,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28817,6 +29021,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_16_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28877,7 +29085,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28925,27 +29133,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_1097 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28953,31 +29165,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28993,19 +29209,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_1220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29017,11 +29237,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29045,19 +29265,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_1341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29073,7 +29293,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29097,35 +29317,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29133,11 +29345,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_1501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_17_1509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29145,19 +29361,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_1525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29165,15 +29385,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_1573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_1582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_1590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29185,43 +29413,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_1615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_1625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_1637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_1671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_1677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29261,19 +29489,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_1761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29313,31 +29541,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1873 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1905 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1915 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_1927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29345,11 +29577,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_1939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_1955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29357,27 +29593,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_2009 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_2015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_2008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29493,7 +29725,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29549,6 +29781,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_2377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29601,6 +29837,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_2501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29617,10 +29857,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_2533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29649,11 +29885,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_2601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_2601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29677,10 +29913,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_2657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29717,7 +29949,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29737,10 +29969,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_2769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29749,6 +29977,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_2793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29761,6 +29993,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29789,10 +30025,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_2881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29817,11 +30049,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_2937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_2937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29877,7 +30109,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29929,11 +30161,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_3173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29985,11 +30217,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_3297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30013,6 +30245,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_3353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30189,7 +30425,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30221,10 +30457,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30249,6 +30481,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30277,6 +30513,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_3913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30345,7 +30585,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30381,10 +30621,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_4137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30405,6 +30641,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_4181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30437,10 +30677,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_17_4255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30453,6 +30689,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_4281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30485,6 +30725,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_4361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30497,11 +30741,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_4381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_4381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30517,6 +30761,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_17_4423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30533,10 +30781,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_4461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30553,10 +30797,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30565,6 +30805,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_4517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30589,6 +30833,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30609,10 +30857,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_4617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30621,6 +30865,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_4641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30645,6 +30893,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_17_4697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30665,11 +30917,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_4741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30677,15 +30929,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30921,19 +31177,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30969,15 +31225,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30989,23 +31245,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_1178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_1203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_1205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_1209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31013,15 +31281,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_1225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31053,19 +31317,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31073,19 +31333,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31105,7 +31365,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31113,11 +31373,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31129,19 +31389,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_1475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31149,11 +31405,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31161,43 +31421,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_1577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_18_1583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_1591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31205,27 +31465,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_1641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_1649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31233,27 +31485,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_18_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31261,23 +31517,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31293,51 +31541,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_1818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1864 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_1872 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_18_1877 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_1883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31345,19 +31605,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_1924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31365,7 +31621,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_1945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31373,11 +31629,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31385,31 +31641,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_2003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_2015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_2013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_2027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_2025 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_2039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31489,7 +31741,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31529,10 +31781,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_2293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31553,6 +31801,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_2337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31577,10 +31829,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_2393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31609,6 +31857,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_18_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_2461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32237,6 +32489,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_18_3773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32273,10 +32529,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_3849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32293,6 +32545,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_18_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32349,6 +32605,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32393,10 +32653,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_411 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_18_4115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32409,6 +32665,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_18_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32445,6 +32705,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_18_4221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32457,10 +32721,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_4241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32565,7 +32825,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32577,6 +32837,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_18_4501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32617,11 +32881,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_4589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_4589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32641,6 +32905,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_4633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32673,10 +32941,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_18_4713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32705,23 +32969,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32729,7 +32997,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32813,23 +33081,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32837,7 +33105,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32845,19 +33113,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32949,7 +33217,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33053,19 +33321,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_1222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_19_1230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33097,27 +33369,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_1289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_1333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_1343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_1341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33153,19 +33425,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_1447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33181,7 +33457,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_1481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33189,7 +33469,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33205,27 +33485,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_1565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33233,15 +33513,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33249,7 +33533,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33261,11 +33545,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_1666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_1665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33273,11 +33561,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33285,23 +33573,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1716 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_1722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_19_1734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33309,31 +33605,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_1760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_1796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_1804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_1808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33341,19 +33645,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_1847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_1846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33365,19 +33669,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_1903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33393,7 +33693,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33409,23 +33709,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_1961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_2009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1999 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_2011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33441,11 +33745,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_2041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33469,6 +33773,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_2097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33493,6 +33801,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_2153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33501,10 +33813,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_19_2177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33545,7 +33853,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33601,6 +33909,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_2377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33653,11 +33965,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_2501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_2501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33689,10 +34001,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_19_2575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33709,6 +34017,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_2613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33717,10 +34029,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_19_2631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33769,6 +34077,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_19_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_19_2737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33781,10 +34093,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_2757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34613,7 +34921,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34649,10 +34957,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34673,6 +34977,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_4617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34681,10 +34989,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_19_4641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34729,11 +35033,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_4741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34985,7 +35289,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34993,31 +35297,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1041 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1045 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1048 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1054 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35025,51 +35313,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_1177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_1183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_1197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_1_1204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_1223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35077,27 +35385,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_1289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35105,31 +35409,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35137,11 +35437,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35149,39 +35445,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_1470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35189,51 +35473,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_1562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_1634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_1665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35245,43 +35533,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1767 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1774 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35293,87 +35569,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_1840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_1859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_1938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_1927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_1954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_1939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1956 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_1985 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_2001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35385,31 +35669,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_2031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_2039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2042 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_2054 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35417,15 +35689,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_2073 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2077 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35433,15 +35697,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_2100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_2122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_2112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35449,15 +35713,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_2141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_2163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_2156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_2169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35465,11 +35737,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_2185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_2192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_2198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35477,43 +35749,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_2239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_2243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_2255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_2270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_2266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_2280 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_2288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35521,27 +35789,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_2306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_2301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_2340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_2345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35549,91 +35817,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_2353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_2393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_2401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_2407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_2423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_2431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_2465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_2478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_2463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_2487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_2465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_2477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_2495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_2485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_2501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_2490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_2513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_2510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_2519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_2518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35653,10 +35921,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_2569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35685,10 +35949,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_1_2631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35701,6 +35961,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_2657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35773,10 +36037,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35805,10 +36065,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_2881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35817,6 +36073,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_2905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35853,6 +36113,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_2981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35865,10 +36129,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_3017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35933,7 +36193,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35969,10 +36229,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_3229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35993,6 +36249,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_3273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36017,10 +36277,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_3329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36029,6 +36285,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_3353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36069,6 +36329,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_1_3441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36077,10 +36341,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_1_3465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36101,7 +36361,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36137,10 +36401,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_358 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_1_3583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36161,63 +36421,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_3633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_3633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_3645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_3689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_3686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_3694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_3725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_3745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_3746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36229,7 +36485,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36241,51 +36497,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_3807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3834 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3837 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3846 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_3855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36301,15 +36537,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_3889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3901 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_1_3913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36317,31 +36557,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_3933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_3946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_3965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36357,27 +36593,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_3997 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_3981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_3998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4004 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_4016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36385,11 +36625,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_4036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36397,23 +36637,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_4058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4067 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36421,47 +36657,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_4153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_4161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_4168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36473,15 +36721,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_4209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36489,47 +36733,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_1_4252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_4267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_4306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_4304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36537,43 +36785,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_4369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_4417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_4425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_4422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_4437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36581,15 +36825,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_4456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36597,135 +36837,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_4502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_4515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_4527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_4539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_4586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_4593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_4608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_4603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_4629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_4639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_4642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_4649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_4661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_4703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_4707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_4705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_4717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_4723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_4726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_4739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_4739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36733,15 +36973,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_1_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36749,47 +36989,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36797,55 +37025,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_661 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_1_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_763 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36853,19 +37081,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_803 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36873,15 +37097,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36889,15 +37113,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_934 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36905,15 +37141,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36921,11 +37157,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37133,27 +37365,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37173,11 +37401,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37185,7 +37413,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_1533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37217,19 +37445,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_20_1641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_20_1649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37237,27 +37473,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_20_1693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_20_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37265,27 +37509,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_1730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_1738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_1746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_1754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_20_1758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_20_1768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37293,23 +37545,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_1813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_1819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_1814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37341,7 +37585,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_1889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37349,27 +37593,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_1925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_1929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_1931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_1933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1945 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_1939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37377,11 +37617,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37389,7 +37629,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_1983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37977,6 +38221,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_20_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_20_3213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37997,10 +38245,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_3257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38033,6 +38277,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_20_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_20_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38053,10 +38301,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_20_3381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38093,6 +38337,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_20_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38105,10 +38353,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_20_3493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38149,6 +38393,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_20_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_3581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38161,10 +38409,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_20_3611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38177,6 +38421,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_20_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38621,7 +38869,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38637,10 +38885,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_20_4613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38717,23 +38961,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38741,10 +38981,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39057,23 +39293,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_1181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_1225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39109,19 +39349,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39165,19 +39405,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_1450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39189,7 +39429,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_1481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39197,15 +39437,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1493 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39213,23 +39449,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39237,19 +39473,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39257,31 +39489,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_1623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_1637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_1660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39289,11 +39513,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_1678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_1671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_1674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39301,47 +39529,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_1728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39357,19 +39581,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_1825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_1847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_1845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39377,19 +39601,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39413,35 +39637,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_1954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1985 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_2009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_2011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40629,6 +40845,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40681,6 +40901,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_21_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_4617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40713,6 +40937,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_21_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_21_4697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40729,10 +40957,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_472 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_4729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40745,15 +40969,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41033,27 +41257,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41089,23 +41313,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_1249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41121,23 +41345,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_1315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41145,15 +41361,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_1367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41221,11 +41441,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_1493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41233,11 +41453,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41245,7 +41465,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_1533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41269,31 +41489,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_1589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_1595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_1601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_1635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_1647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41305,51 +41533,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_1753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_1761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41433,11 +41657,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_1933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1945 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_1941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41445,11 +41669,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_1956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41457,11 +41681,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_1986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42365,7 +42589,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42405,6 +42629,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_22_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_22_3973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42425,10 +42653,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_22_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43077,11 +43301,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1093 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_23_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43089,11 +43317,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_1113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43129,19 +43353,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_1194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_1221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43261,7 +43485,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_1481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_23_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43269,7 +43497,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43277,31 +43505,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_1505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_1506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_1511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43309,19 +43533,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_1577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_1600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43329,7 +43557,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_1619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43349,7 +43581,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_1661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43357,19 +43589,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_1679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_1681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43377,19 +43601,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_1697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43397,27 +43621,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_1781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44073,7 +44297,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44121,10 +44345,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_327 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_23_3273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44133,6 +44353,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_23_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_23_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45073,19 +45297,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45105,7 +45329,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_1085 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45113,31 +45341,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45193,27 +45413,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45301,11 +45521,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45313,11 +45533,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45341,11 +45561,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_1565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_1583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45357,23 +45581,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45381,51 +45597,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_1650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_1662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_1664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_1668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_1697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_1706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_1738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46125,7 +46341,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46169,10 +46385,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_3301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46185,6 +46397,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_24_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46193,10 +46409,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_3345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46245,11 +46457,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_3457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46301,7 +46513,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46329,6 +46541,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46605,7 +46821,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46657,10 +46873,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_24_4333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46697,6 +46909,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_4409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46713,10 +46929,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_24_4451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46749,6 +46961,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_4521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46773,10 +46989,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46805,6 +47017,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_4645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46829,10 +47045,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_24_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46857,10 +47069,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_24_4753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47097,23 +47305,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47241,11 +47437,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_1268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47257,23 +47457,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47333,15 +47533,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_1457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47349,7 +47549,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47357,7 +47557,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_1507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47381,27 +47585,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_1578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47409,7 +47605,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_1619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47425,11 +47625,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_1657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_1663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47437,15 +47645,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_1677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_1679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47453,47 +47657,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_1734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_1781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47769,6 +47969,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_2377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47797,10 +48001,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_2445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47825,6 +48025,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_2501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47853,10 +48057,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_2569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47877,6 +48077,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_2613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47905,10 +48109,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_2681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47937,6 +48137,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_2737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47961,6 +48165,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_2793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48029,7 +48237,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48053,6 +48261,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_2981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48141,7 +48353,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48185,10 +48397,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_3261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48201,6 +48409,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48217,10 +48429,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_3329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48229,6 +48437,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_3353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48241,7 +48453,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48277,10 +48489,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_3453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48301,6 +48509,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_3497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48309,10 +48521,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_3521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48357,11 +48565,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_3621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_3621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48409,6 +48617,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_3733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48417,10 +48629,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_25_3751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48441,10 +48649,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48469,6 +48673,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48481,10 +48689,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_3877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48665,6 +48869,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_25_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_25_4255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48697,10 +48905,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_4325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48737,6 +48941,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_4417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48753,10 +48961,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_4449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48765,6 +48969,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_4473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48889,7 +49097,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48901,11 +49109,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49137,27 +49345,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1020 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49289,7 +49501,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_1319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49297,19 +49513,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_1346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_1352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_1365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_1370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49369,11 +49585,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_1492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_1498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49381,11 +49605,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_1528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49393,14 +49621,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_1533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_1539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_1541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49409,39 +49629,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_1595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_1645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49449,11 +49661,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_1651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_1661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49465,11 +49677,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49485,19 +49697,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49769,7 +49981,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49805,10 +50017,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_2417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49829,6 +50037,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_2461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49841,10 +50053,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_26_2491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49857,6 +50065,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_2517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50405,7 +50617,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50453,11 +50665,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_3761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_3761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50501,10 +50713,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_386 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_3861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50517,6 +50725,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_26_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50557,10 +50769,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_3985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50577,6 +50785,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50617,10 +50829,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_26_4109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50637,6 +50845,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50657,11 +50869,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_4185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_4185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50781,7 +50993,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50805,6 +51017,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_26_4501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50849,10 +51065,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_4601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50869,6 +51081,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_4633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50901,10 +51117,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_26_4713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51149,15 +51361,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51165,7 +51377,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51173,11 +51385,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_984 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51325,27 +51541,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_1337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_1343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51377,15 +51585,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51409,7 +51617,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_27_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51417,7 +51629,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51425,11 +51637,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_1505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_1511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_1506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51461,15 +51669,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_1601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_1607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51477,7 +51693,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51485,19 +51701,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_1657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_1661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51505,11 +51725,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_1675 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_1674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51521,47 +51737,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_1734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1773 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_1785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51781,7 +51989,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51837,6 +52045,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_2377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51845,10 +52057,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_27_2401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51893,6 +52101,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_2501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51905,10 +52117,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_2521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51945,6 +52153,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_2613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51961,10 +52173,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_2645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52005,6 +52213,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_27_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_27_2737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52021,10 +52233,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_2769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52033,6 +52241,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_27_2793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52369,7 +52581,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52425,6 +52637,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_3621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52473,7 +52689,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52505,10 +52721,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_27_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52845,7 +53057,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52881,10 +53093,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52905,6 +53113,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_4617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52941,10 +53153,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_470 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_27_4703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52961,6 +53169,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52969,15 +53181,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53185,31 +53397,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_977 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53441,7 +53649,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_1485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_28_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53489,31 +53701,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_1589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_1595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1634 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_1646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53521,6 +53725,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_28_1650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54317,6 +54525,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_28_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54349,10 +54561,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_3401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54369,10 +54577,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_28_3443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54381,6 +54585,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54389,10 +54597,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_3481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54437,7 +54641,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54465,6 +54669,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54473,6 +54681,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_28_3661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54501,10 +54713,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_28_3723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54525,6 +54733,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_28_3773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54561,10 +54773,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_3849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54581,6 +54789,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_28_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54613,10 +54825,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_3961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54641,6 +54849,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54669,10 +54881,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_4085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54701,6 +54909,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_28_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54725,6 +54937,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_4197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54785,7 +55001,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54841,6 +55057,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_28_4451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54897,6 +55117,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54917,10 +55141,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_4621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54949,11 +55169,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_4689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_4689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54981,6 +55201,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_28_4753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54993,15 +55217,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55009,6 +55233,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_28_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55321,23 +55549,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_1226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_1225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56093,7 +56325,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56109,10 +56341,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_2849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56137,10 +56365,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_2905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56157,6 +56381,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_2937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56197,10 +56425,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_29_3023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56217,6 +56441,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_3061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56237,10 +56465,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_3105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56269,11 +56493,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_3173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56325,11 +56549,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_3297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56353,6 +56577,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_3353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56365,6 +56593,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_3373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56381,6 +56613,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_29_3415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56405,6 +56641,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_29_3471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56453,10 +56693,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_358 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_29_3583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56465,6 +56701,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_3597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56505,10 +56745,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_29_3695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56521,6 +56757,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_3721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56569,6 +56809,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_29_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_3833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56593,10 +56837,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_3889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56609,6 +56849,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_3913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56621,6 +56865,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_3933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56649,10 +56897,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_400 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_4001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56677,6 +56921,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_4057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56705,10 +56953,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_412 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_4125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56733,6 +56977,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_4181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56761,10 +57009,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_424 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_4249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56785,6 +57029,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_4293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56813,10 +57061,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_4361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56841,6 +57085,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_29_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_4417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56865,6 +57113,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_29_4473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56933,7 +57185,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56957,10 +57209,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_4661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56993,6 +57241,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_29_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57001,19 +57253,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57021,15 +57273,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57037,7 +57289,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57245,15 +57497,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57261,23 +57513,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_1072 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57285,15 +57529,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_1099 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_2_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_1135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57301,27 +57557,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_1181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_1177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_1190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57329,43 +57597,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_1254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_1263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_1261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57373,23 +57637,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57401,15 +57661,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_1373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_1411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57417,51 +57681,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_1445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1522 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_1531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57473,27 +57721,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_1622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57501,27 +57741,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_1702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57533,63 +57769,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_1812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_1853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57597,83 +57833,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_1881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_1924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_1933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_1980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_1991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_2020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_2031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_2025 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2029 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57681,47 +57913,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2084 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_2093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2096 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_2137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_2144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57733,23 +57965,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_2159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_2178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_2179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57757,15 +57985,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_2237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57777,35 +58005,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_2283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_2298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57813,35 +58045,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_2349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_2377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_2366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_2391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_2397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57849,47 +58089,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_2405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_2429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_2433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_2437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_2449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_2469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57897,31 +58133,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_2489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_2487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_2493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_2531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_2543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_2534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_2547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_2546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57929,10 +58165,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_2561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57965,10 +58197,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_2641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57993,10 +58221,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_2697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58029,6 +58253,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_2_2771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58105,10 +58333,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_2941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58145,10 +58369,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58157,6 +58377,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_2_3045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58173,10 +58397,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_3077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58185,10 +58405,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_2_3101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58205,10 +58421,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_3133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58233,6 +58445,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_3189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58257,6 +58473,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_3245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58277,11 +58497,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58309,6 +58529,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_3357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58317,10 +58541,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_338 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_2_3381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58365,11 +58585,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58389,6 +58609,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_3525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58413,10 +58637,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_3581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58425,6 +58645,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_2_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58441,15 +58665,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_3625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_3633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_3636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_3642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_2_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_3655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58469,47 +58705,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_3693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_3698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_3717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_3723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_3708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_3716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_3727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_3740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_3773 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_3779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_3777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58521,15 +58753,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_3829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58537,31 +58773,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_3885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3875 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58569,35 +58801,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_3933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_3940 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_3941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58605,31 +58825,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_3951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_3949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_3959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_3978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_3984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_3988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_3987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_3999 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_4003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58645,11 +58873,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58665,19 +58893,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4069 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_4067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_4073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4076 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_4074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_4084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_4077 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_4085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58685,35 +58917,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_4095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_4115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_4121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_4134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58725,6 +58953,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_2_4160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58741,43 +58973,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_2_4204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_2_4224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_4229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58785,19 +59005,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_4333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_4337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_4339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58825,71 +59041,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_4425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_4444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_4453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_4469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_4506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_4475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_4521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_4502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_2_4549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58897,67 +59117,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_4607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_4600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_4618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_4621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_4652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_4674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_4677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_4691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_4718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58965,19 +59181,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4720 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_2_4728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_4733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_4733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_4743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58989,87 +59201,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59077,19 +59281,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_749 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59097,67 +59321,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_929 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59169,19 +59401,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_983 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_987 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1029 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59473,7 +59709,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59485,47 +59721,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_1665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_1700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_1713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59533,11 +59765,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59589,7 +59821,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59617,10 +59849,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59893,7 +60121,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59945,6 +60173,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_2653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60001,6 +60233,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_30_2771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60021,10 +60257,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_282 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_2821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60061,6 +60293,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_2897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60077,10 +60313,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_2933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60125,6 +60357,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60137,10 +60373,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_30_3051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60153,6 +60385,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60161,6 +60397,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_3101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60209,6 +60449,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_3213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60249,10 +60493,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_330 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60265,6 +60505,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_30_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60281,10 +60525,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_336 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60309,10 +60549,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60329,6 +60565,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60365,10 +60605,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_3549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60385,6 +60621,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60393,10 +60633,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_3605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60413,6 +60649,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60421,6 +60661,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_3661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60433,10 +60677,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60473,6 +60713,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_3773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60485,10 +60729,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60529,6 +60769,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_30_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60541,10 +60785,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_3917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60589,6 +60829,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60597,10 +60841,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_4041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60649,11 +60889,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_4141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60689,6 +60929,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_4221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60717,10 +60961,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_30_4283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60741,6 +60981,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_4333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60777,10 +61021,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_4409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60797,6 +61037,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_30_4451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60829,10 +61073,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_4521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60857,6 +61097,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60885,10 +61129,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_4645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60913,6 +61153,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60937,6 +61181,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_30_4753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61365,7 +61613,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61373,15 +61621,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_1393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_1398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61525,23 +61773,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_1693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_1711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61553,6 +61801,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61581,10 +61833,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61593,6 +61841,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_31_1841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61637,11 +61889,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61689,10 +61941,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61701,6 +61949,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_31_2071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61745,10 +61997,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_31_2177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61761,6 +62009,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_2197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61789,7 +62041,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61825,6 +62077,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61841,11 +62097,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_2365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_2365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61881,7 +62137,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61937,6 +62193,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_31_2575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -61993,6 +62253,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62001,10 +62265,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_271 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_2713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62033,11 +62293,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_2781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_2781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62053,10 +62313,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62097,7 +62353,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62133,6 +62389,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_2981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62161,10 +62421,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62189,6 +62445,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62197,10 +62457,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62221,10 +62477,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62249,6 +62501,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62269,10 +62525,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_326 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62305,7 +62557,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62437,7 +62689,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62481,10 +62733,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_370 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62493,6 +62741,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62533,10 +62785,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_3821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62549,6 +62797,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_31_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62573,11 +62825,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_3901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_3901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62649,7 +62901,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62705,6 +62957,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_4181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62753,7 +63009,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62785,10 +63041,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_31_4361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62813,7 +63065,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62833,6 +63085,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_4449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62841,10 +63097,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_31_4473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62857,10 +63109,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62885,6 +63133,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_4549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62913,10 +63165,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_4617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62937,6 +63185,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_4661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62969,10 +63221,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62981,11 +63229,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_31_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63385,19 +63637,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_1365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_1373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_1389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63405,11 +63661,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63417,11 +63669,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63533,11 +63785,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_1657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_1671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63585,7 +63841,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63613,6 +63869,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_1833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -63641,10 +63901,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_1901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -63945,7 +64201,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63985,10 +64241,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_2617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64041,10 +64293,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_2729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64053,6 +64301,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_2753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64069,10 +64321,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_2785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64085,6 +64333,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_32_2821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64097,10 +64349,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_2841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64141,6 +64389,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_32_2933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64157,10 +64409,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_2965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64201,6 +64449,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_32_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_32_3051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64221,7 +64473,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64261,10 +64513,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_3177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64273,11 +64521,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_3201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_3201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64329,11 +64577,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_3325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_3325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64373,10 +64621,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_3413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64397,6 +64641,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64429,10 +64677,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_3537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64453,6 +64697,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_3581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64465,10 +64713,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_32_3611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64481,6 +64725,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64489,7 +64737,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64529,10 +64777,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_3737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64545,6 +64789,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_32_3773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64569,10 +64817,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_32_3829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64601,6 +64845,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_32_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64621,10 +64869,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_32_3941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64661,6 +64905,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64681,10 +64929,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_4061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64721,6 +64965,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64741,11 +64989,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_4185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_4185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65017,23 +65265,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65041,6 +65289,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65597,7 +65849,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65633,6 +65885,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_33_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65653,10 +65909,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65665,6 +65917,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_1841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65709,10 +65965,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_1941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65725,6 +65977,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_1961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65737,15 +65993,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_1997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_2015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65761,10 +66017,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65781,6 +66033,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_2085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65817,10 +66073,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_2177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65837,6 +66089,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_2209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65845,10 +66101,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_2233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65973,7 +66225,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65997,10 +66249,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_2545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66029,11 +66277,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_2613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_2613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66053,10 +66301,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_2657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66093,6 +66337,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_2737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66113,11 +66361,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_2781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_2781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66189,7 +66437,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66249,6 +66497,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_3061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66297,11 +66549,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_3173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66397,7 +66649,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66433,10 +66685,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_3453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66457,6 +66705,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_3497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66465,10 +66717,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_3521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66493,10 +66741,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_3577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66517,6 +66761,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_3621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66565,6 +66813,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_33_3733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66593,10 +66845,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_33_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67613,35 +67861,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_1659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_1668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_1701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1691 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67761,15 +68001,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67777,11 +68013,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_1981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_1985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_1987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67789,23 +68025,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_2009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_2021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_2033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_2041 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_2043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67817,19 +68053,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_2069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_2077 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2083 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_2089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67837,7 +68065,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_2097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_2093 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_2099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67865,27 +68097,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_2157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_2167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_2179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_2191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_2203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_2205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67929,11 +68157,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_2293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_2299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_2293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68049,6 +68273,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_34_2541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68073,10 +68301,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_34_2597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68101,6 +68325,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_34_2653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68133,10 +68361,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_271 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_2715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68161,6 +68385,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_2771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68193,10 +68421,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_2841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68221,6 +68445,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_2897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68249,10 +68477,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_2953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68285,6 +68509,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68321,7 +68549,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68361,10 +68589,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_3177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68377,6 +68601,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_34_3213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68385,10 +68613,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_3221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68417,10 +68641,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_3289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68429,10 +68649,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_3313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68441,6 +68657,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68485,10 +68705,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_34_3437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68501,6 +68717,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68541,10 +68761,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_3555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68557,6 +68773,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_3581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68645,7 +68865,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68677,10 +68897,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_383 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_3835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68705,6 +68921,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68737,10 +68957,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_3961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68765,6 +68981,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68789,10 +69009,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_407 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_4073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68825,6 +69041,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69241,11 +69461,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69253,19 +69481,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69377,10 +69605,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69389,6 +69613,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69405,10 +69633,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69417,6 +69641,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69429,6 +69657,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69437,10 +69669,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69485,6 +69713,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69493,10 +69725,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_1281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69541,11 +69769,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69593,6 +69821,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69605,10 +69837,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_35_1511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69637,35 +69865,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69673,39 +69897,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_1649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_1650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1659 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1665 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69713,7 +69921,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_1670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_35_1678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69805,19 +70017,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_1873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_1897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69861,15 +70073,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_1997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_2003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_2007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70013,27 +70221,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_2300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_2297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_2306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_2309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_2318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_2321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_2330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_2342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_2345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_2350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_2351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70045,7 +70253,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70101,11 +70309,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_2501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_2501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70153,6 +70361,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_2613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -70193,10 +70405,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_35_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -70213,6 +70421,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_2737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -70233,11 +70445,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_2781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_2781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71281,7 +71493,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71293,10 +71505,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71341,7 +71549,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71373,10 +71581,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71393,11 +71597,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71409,10 +71613,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_35_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71441,6 +71641,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71453,10 +71657,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71469,6 +71669,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71481,10 +71685,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71509,10 +71709,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71529,6 +71725,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_36_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71557,10 +71757,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71589,6 +71785,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71613,10 +71813,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71685,7 +71881,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71701,31 +71897,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1571 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1577 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71737,59 +71921,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_1645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_1651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71809,23 +71989,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71837,10 +72017,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71849,6 +72025,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71885,31 +72065,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1911 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_1925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71925,19 +72101,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_1957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71945,7 +72113,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_1981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_36_1987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72201,6 +72373,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_2541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72225,10 +72401,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_2597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72253,6 +72425,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_2653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72285,10 +72461,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_271 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_36_2715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72313,6 +72485,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_36_2771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72345,10 +72521,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_2841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72373,6 +72545,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_2897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72401,10 +72577,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_2953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72437,6 +72609,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_36_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72781,11 +72957,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_3749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_3749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_3761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_3757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_36_3761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_3769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72793,7 +72977,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_3773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_3775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72801,27 +72985,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_3781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_3783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_3793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_3795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_3805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_3807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_3811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_3819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_3817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_3823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_3831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73289,7 +73469,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73313,10 +73493,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73329,10 +73505,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73357,11 +73529,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73385,11 +73557,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73401,6 +73573,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73453,7 +73629,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73477,10 +73653,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73509,6 +73681,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_36_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_36_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73517,10 +73693,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73541,23 +73713,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73565,7 +73737,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73605,7 +73777,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73633,7 +73805,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73653,10 +73825,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73681,6 +73849,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_1301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73717,7 +73889,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73741,6 +73913,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73753,6 +73929,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_37_1455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73777,11 +73957,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73801,11 +73981,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73813,55 +73993,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73869,27 +74045,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_1665 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73897,11 +74081,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73909,27 +74089,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74169,15 +74345,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_2241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_2245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_2241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74185,15 +74353,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_2265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_2277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_2289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_2295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74337,6 +74513,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_2613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74353,10 +74533,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_2645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74385,10 +74561,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_2701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74401,6 +74573,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_37_2737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74421,11 +74597,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_2781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74441,7 +74617,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74493,11 +74669,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_2925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_2925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74521,10 +74697,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_2981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74561,6 +74733,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_3061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74581,10 +74757,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_3105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74613,6 +74785,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74637,10 +74813,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_3229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74669,6 +74841,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_37_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74689,11 +74865,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_3341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_3341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74889,27 +75065,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_3753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_3753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_3765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_3758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_3777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_3762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_3785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_3765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_3793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_3769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_3797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_3772 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_3778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_3782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_3785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_3791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74921,27 +75113,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_3809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_3811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_3815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_3823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_3821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_3831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_3827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_3834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_3833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_3845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_3846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74949,11 +75137,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_3857 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_3863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_3858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74969,7 +75153,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75353,10 +75537,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_37_4703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75401,11 +75581,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75417,6 +75597,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_37_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75473,7 +75657,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75501,10 +75685,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_37_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75517,6 +75697,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75533,10 +75717,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_37_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75549,6 +75729,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75565,31 +75749,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_886 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_37_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75597,11 +75785,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75637,11 +75825,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75653,6 +75841,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_38_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75689,7 +75881,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75717,7 +75909,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75733,10 +75925,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75757,11 +75945,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75789,6 +75977,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_1309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75809,11 +76001,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75833,10 +76025,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_1397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75845,6 +76033,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_1421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75857,11 +76049,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75885,7 +76077,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75893,10 +76085,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_1509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75913,35 +76101,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_1575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_1585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_1591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75949,63 +76149,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_1616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_1638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_1670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_1680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76013,47 +76217,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_38_1692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_1753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_38_1761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76065,19 +76277,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_1807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76097,10 +76309,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_185 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_1857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76109,6 +76317,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_38_1875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76133,10 +76345,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_38_1931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76149,6 +76357,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76281,19 +76493,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_2237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_2237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_2246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_2249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_2253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_2259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_2261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76325,7 +76533,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_2328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_2325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76333,23 +76541,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_2334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_2337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_2346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_2349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_2358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_2361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_2370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_2373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_2378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_2379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76409,6 +76617,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_38_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_2505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76505,10 +76717,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_2709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76605,7 +76813,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76989,10 +77197,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_3705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77005,19 +77209,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_3727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_3725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_3739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_3737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_3751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_3745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_3761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_3748 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_38_3754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_3760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_3763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77025,7 +77241,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_3773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_3770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77033,59 +77249,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_3783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_3783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_3791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_3791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_3797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_3794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_3803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_3800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_3809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_3806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_3815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_3812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_3821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_3818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_3827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_3821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_3835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_3829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_3839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_3832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_3851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_3839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_3863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_3845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_3875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_3887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_3869 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_3881 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_38_3889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77093,10 +77317,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_3891 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_3893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77189,6 +77409,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_38_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_4109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77241,10 +77465,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_4209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77477,6 +77697,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77513,11 +77737,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77529,10 +77753,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77549,11 +77769,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77577,11 +77797,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77609,7 +77829,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77641,11 +77861,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77669,6 +77889,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77685,51 +77909,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77745,10 +77965,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77757,6 +77973,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77765,15 +77985,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77789,27 +78005,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1053 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_39_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77825,10 +78045,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77853,11 +78069,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77897,11 +78113,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77925,7 +78141,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77937,10 +78153,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77961,11 +78173,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77989,6 +78201,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78009,10 +78225,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78021,6 +78233,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78033,47 +78249,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_1531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1557 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78081,79 +78281,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_1671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78161,83 +78357,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78277,7 +78465,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78309,6 +78497,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78333,10 +78525,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_1985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78345,6 +78533,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_39_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78361,6 +78553,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78389,10 +78585,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_2109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78417,6 +78609,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_2165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78441,10 +78637,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_2221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78577,10 +78769,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_25 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_2501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78725,6 +78913,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_2801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78821,10 +79013,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_30 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_3005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79109,7 +79297,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79157,27 +79345,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_3697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_3697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_3707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_3709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_3713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_3721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_3720 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_3723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79185,11 +79369,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_3730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_3742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79201,15 +79389,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_3755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_3753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_3763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79217,11 +79405,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_3782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79233,7 +79417,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_3795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_3798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79241,23 +79429,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_3812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_3820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_3833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_3845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79265,7 +79453,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_3851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_3853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_3859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79433,10 +79625,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_4201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79573,10 +79761,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79585,6 +79769,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_4517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79625,11 +79813,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_4605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_4605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79641,6 +79829,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_39_4641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79685,11 +79877,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_4741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79697,15 +79889,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79721,6 +79909,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79741,6 +79933,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79749,10 +79945,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79773,7 +79965,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79797,10 +79989,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79825,7 +80013,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79841,31 +80029,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79873,6 +80069,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79885,10 +80085,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_39_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79901,10 +80097,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -79917,7 +80121,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79937,63 +80141,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_39_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1076 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80001,19 +80205,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_1133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80021,31 +80233,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_1201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_1207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_1211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_1214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_1221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80053,19 +80285,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_1253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_1256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_1264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_1270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80073,95 +80325,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_1291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_1314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_1349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_1336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_1347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_1394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80169,19 +80421,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1555 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80189,19 +80433,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_1584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_3_1615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80209,7 +80457,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80217,23 +80469,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80241,27 +80485,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_1760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80269,35 +80505,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_1783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_1784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_1842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_1849 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_3_1861 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_1869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80305,22 +80549,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1876 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1885 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1893 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_3_1899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80329,7 +80557,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80337,7 +80565,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80345,7 +80573,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_1946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_1920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80353,31 +80581,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_1996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_1981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_1993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_2005 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_2013 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_2014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80385,11 +80605,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_2029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_2035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80397,31 +80617,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_3_2068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_2096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_2126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80429,31 +80641,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_2141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_2149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_2153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_2152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_2161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_2158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_2164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_2167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_2177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_2173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_2179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80461,7 +80677,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_2185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_2190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80469,15 +80693,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_2201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_2230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_2232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_2238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80485,51 +80709,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_3_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_2265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_2289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_2294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_2295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_2297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_2345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_2344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_2351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80537,23 +80761,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_2365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_2373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_2376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_2382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_2401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_2388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_2394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_2397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_2403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80585,27 +80821,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_2489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_2503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_2515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_2506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_2519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_3_2518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80621,6 +80861,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_2557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80645,10 +80889,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_2613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80673,6 +80913,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_2669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80701,6 +80945,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_2737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80717,10 +80965,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_2769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80729,6 +80973,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_2793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80769,10 +81017,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_2881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80801,10 +81045,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_2949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80829,10 +81069,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80857,6 +81093,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80909,6 +81149,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_3185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80921,6 +81165,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80929,11 +81177,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80957,11 +81205,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80989,10 +81237,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_3353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81013,6 +81257,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81033,11 +81281,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81065,10 +81313,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81085,10 +81329,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81097,6 +81337,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81121,10 +81365,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81137,31 +81377,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_3641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_3689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_3695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_3694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81169,23 +81405,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_3723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_3731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_3745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_3739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_3751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_3744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81205,10 +81441,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81229,11 +81461,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_3845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_3845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81253,10 +81485,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_3889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81265,6 +81493,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_3913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81289,10 +81521,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_3969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81309,6 +81537,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_4001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81349,67 +81581,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_4089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_4089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4099 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_4095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_4113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_4102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_4119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_4124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_4136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_4149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_4155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_4149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_4209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_4215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_4178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_4186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_4194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_4204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_4212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81417,7 +81673,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81425,43 +81681,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_4265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_4271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_4305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_4311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_4361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_4363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81477,7 +81737,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81485,10 +81745,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_4405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81501,107 +81757,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_4425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_4433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_4473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_4479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_4505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_4519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_4513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_4527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_4535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_4543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_4589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_4605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_4597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_4617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_4627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_4605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_4638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_4646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_3_4640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81633,11 +81893,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_4719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_4727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_4717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81645,10 +81901,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_4735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_3_4738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81657,23 +81909,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81681,31 +81929,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81713,43 +81961,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81757,103 +82009,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_932 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81861,19 +82109,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81885,14 +82129,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_995 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_999 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81905,10 +82141,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_40_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81921,6 +82153,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81929,10 +82165,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81945,10 +82177,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81961,6 +82189,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81981,10 +82213,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82005,11 +82233,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82041,6 +82269,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82057,10 +82293,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82069,6 +82301,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82093,7 +82329,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82121,10 +82357,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82141,83 +82373,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_1517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1575 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82225,15 +82433,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82241,15 +82449,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82257,23 +82461,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82285,11 +82489,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82301,75 +82501,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_1761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82381,10 +82577,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_1845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82393,6 +82585,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82401,7 +82597,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82409,7 +82605,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82421,6 +82617,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_1925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82457,7 +82657,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82485,6 +82685,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82509,10 +82713,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82541,6 +82741,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82565,23 +82769,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_2249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_2249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_2264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_2261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_2267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82597,10 +82801,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82625,11 +82825,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_2361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_2361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82677,10 +82877,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82689,6 +82885,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_40_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_40_2491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82701,10 +82901,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82713,10 +82909,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_2541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82745,6 +82937,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_40_2603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82765,10 +82961,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_2653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82809,6 +83001,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82825,10 +83021,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_40_2771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82861,6 +83053,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82885,15 +83081,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82921,6 +83113,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_2965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82941,18 +83137,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_3009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82977,10 +83165,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_3077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83037,10 +83221,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_3201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83185,6 +83365,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_3501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83277,35 +83461,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_3693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_3693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_3705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_3705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_3708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_3723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_3725 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_3730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83313,15 +83489,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_3747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_3748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_3759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_3754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_3767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_3767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83329,67 +83509,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_3779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_3781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_3788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_3813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_3830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_3861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_3851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_3867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_3857 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_3869 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_3881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_3889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_3879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83397,6 +83565,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_3891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_3893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83541,11 +83713,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_4209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_4209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83597,10 +83769,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_4333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83653,7 +83821,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83701,6 +83869,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_4557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83713,10 +83885,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83725,11 +83893,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_4601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_4601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83773,10 +83941,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83789,6 +83953,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_40_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_40_4731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83801,10 +83969,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_40_4753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83813,11 +83977,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83825,19 +83989,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83845,6 +84005,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83857,10 +84021,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83873,6 +84033,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83881,10 +84045,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83897,31 +84057,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83941,6 +84109,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83949,23 +84121,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_40_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83973,31 +84149,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_851 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84013,6 +84185,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84025,10 +84201,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_40_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84041,23 +84213,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84065,15 +84237,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_40_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84101,10 +84269,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_41_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84117,11 +84281,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84141,6 +84305,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_41_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84149,15 +84317,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84173,6 +84337,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84193,10 +84361,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84209,6 +84373,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_41_1281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84237,10 +84405,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_41_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84249,6 +84413,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_1357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84269,10 +84437,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84305,19 +84469,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_1481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84333,71 +84501,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84413,11 +84581,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84425,7 +84593,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84437,23 +84605,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84461,11 +84629,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_1731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84473,79 +84645,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1807 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1837 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84553,35 +84705,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1902 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84593,27 +84741,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_1953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84633,11 +84777,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_2009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84661,6 +84805,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_41_2065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -84677,19 +84825,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_2097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_2121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84701,27 +84853,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_2141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_2153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_2177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_2181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_2183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84729,27 +84877,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_2197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_2207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_2233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84757,11 +84897,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_2241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_2247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84769,51 +84905,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_2251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_2281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_2289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_2285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_2295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_2293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_2326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_2345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_2332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_2344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_2351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84929,10 +85061,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_2601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85077,6 +85205,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_41_2905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85125,10 +85257,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_3005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85225,10 +85353,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_3205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85273,6 +85397,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_41_3303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85461,19 +85589,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_3697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3704 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85481,7 +85605,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_3726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85489,15 +85613,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_3732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_3736 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_3744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85505,27 +85625,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_3757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_3791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_3783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85533,15 +85649,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_3809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_3816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_3845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85549,31 +85669,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_3855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_3863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_3856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_3873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_3867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_3885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_3879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_3897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_3909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_3903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85581,7 +85705,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_3917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_3915 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_3919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85589,10 +85717,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_3933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85601,6 +85725,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_3957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85641,11 +85769,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_4045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_4045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85697,11 +85825,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_4169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_4169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85753,10 +85881,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_4293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85777,6 +85901,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_4337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85801,22 +85929,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_41_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_4393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_4405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_441 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_41_4417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85857,10 +85981,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85889,6 +86009,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_4561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85909,11 +86033,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_4605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_4605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85945,6 +86069,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_4685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85969,10 +86097,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85981,15 +86105,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85997,11 +86117,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86013,10 +86133,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_41_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86029,7 +86145,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86057,6 +86173,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86065,10 +86185,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86093,6 +86209,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86105,67 +86229,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_821 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86173,10 +86285,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_41_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86193,6 +86301,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_41_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86201,27 +86313,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_918 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86229,79 +86333,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1069 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1081 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86309,10 +86405,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86337,31 +86429,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1194 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86377,19 +86465,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_1257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86461,19 +86549,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86485,10 +86573,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_1453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86505,11 +86589,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86525,63 +86609,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1588 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_1597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86589,43 +86665,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_1695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86637,163 +86717,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_1765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_1893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_1910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_1977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1969 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_1985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86801,10 +86857,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_2001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86853,7 +86905,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86861,51 +86913,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_2125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_2133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_2149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_2148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_2155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_2205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86937,59 +86985,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_2273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_2283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_2317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_2323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_2316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_2361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_2363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_2375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_2373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87005,6 +87045,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_2405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87017,10 +87061,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_2435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87029,6 +87069,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_2449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87057,10 +87101,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_2517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87149,10 +87189,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_2709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87249,6 +87285,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_2909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87293,7 +87333,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87341,7 +87381,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87365,6 +87405,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_3145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87393,10 +87437,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_3213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87417,6 +87457,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_3257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87453,10 +87497,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87477,6 +87517,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_3381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87513,10 +87557,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87529,6 +87569,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_3493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87569,10 +87613,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_3581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87585,6 +87625,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_42_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_3611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87597,10 +87641,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87621,27 +87661,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_3669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_3669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_3672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_3687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_3700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_3699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87653,39 +87685,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_3715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_3723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_3733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_3737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_3739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_3743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87693,27 +87717,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_3779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_3781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_3827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_3829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87725,23 +87741,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_3875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_3877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_3881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_3889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_3883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87749,6 +87761,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_3891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_3893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87805,7 +87821,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87841,6 +87857,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_4097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87861,10 +87881,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87877,6 +87893,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_42_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_4171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87889,10 +87909,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_4197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87901,10 +87917,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_4221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87917,6 +87929,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_4241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87953,10 +87969,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_4333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87965,6 +87977,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_4341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88009,10 +88025,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_4451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88021,6 +88033,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_4465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88033,6 +88049,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_4501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88069,10 +88089,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_4577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88085,6 +88101,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_42_4613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88125,10 +88145,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88141,6 +88157,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_42_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_4731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88153,10 +88173,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_42_4753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88229,11 +88245,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88241,7 +88257,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88269,15 +88285,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88285,19 +88297,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88313,47 +88325,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_42_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_853 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88365,6 +88373,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_42_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88381,139 +88393,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88537,31 +88533,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88569,7 +88565,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_43_1297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88585,11 +88585,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88621,31 +88621,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88653,51 +88637,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1478 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88709,11 +88677,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88721,23 +88689,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88749,23 +88717,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88777,63 +88741,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88841,19 +88797,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_1768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_1772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88861,10 +88825,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_43_1781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88877,83 +88837,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_1819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_1902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_1935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1942 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88965,135 +88917,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1980 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_2005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_2013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2017 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_2045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_2061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_2063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_2071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_2085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_2093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_2103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_2110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_2117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89105,31 +89033,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_2233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_2238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_2239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89137,15 +89065,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_2253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_2264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89153,55 +89077,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_2289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_2295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_2290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_2297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_2322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_2345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2330 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_2350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_2351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89213,23 +89125,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_2377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_2401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89237,27 +89141,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_2428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_2434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89265,7 +89161,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_2461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_2457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_2463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89297,23 +89197,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_2533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_2541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_2545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_2557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2551 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_2563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_2569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89333,6 +89229,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_2601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89433,7 +89333,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89449,6 +89349,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_2849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89473,6 +89377,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_2905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89489,10 +89397,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_2937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89533,6 +89437,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_43_3023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89549,10 +89457,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_3061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89593,6 +89497,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_3149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89601,10 +89509,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89621,10 +89525,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_3205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89649,6 +89549,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_43_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_3261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89661,10 +89565,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_3297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89685,11 +89585,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_3341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89721,6 +89621,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_43_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_3409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89793,15 +89697,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_3565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_3565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_3573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_3577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89809,19 +89713,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_3591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_3594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_3606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89829,15 +89729,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_3618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_3630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_3633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_3638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_3639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89849,15 +89749,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_3679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89869,27 +89769,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_3697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_3720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_3726 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89909,63 +89805,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_3755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_3757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_3763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_3799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_3807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_3812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_3818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_3863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3847 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_386 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3867 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_3873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89973,27 +89853,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_3887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_3893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3896 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_3900 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_3903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_3911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90005,7 +89877,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90041,6 +89913,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_43_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90061,10 +89937,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90073,6 +89945,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_43_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_4081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90117,10 +89993,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90133,6 +90005,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90169,10 +90045,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90181,6 +90053,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_43_4311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90225,10 +90101,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_441 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_4417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90241,6 +90113,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90269,7 +90145,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90289,6 +90165,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_43_4535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90301,6 +90181,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90329,10 +90213,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90353,10 +90233,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90365,6 +90241,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90381,10 +90261,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_4717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90401,15 +90277,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_43_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_43_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90445,6 +90329,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_43_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_43_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90461,35 +90349,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_43_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90509,43 +90393,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_761 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90553,35 +90429,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_43_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_810 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90593,27 +90461,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_849 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_852 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_858 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90625,6 +90477,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_43_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90637,227 +90493,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1064 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_1293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_1291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90865,15 +90689,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_1352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90881,11 +90709,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90893,279 +90717,283 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_1640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_1821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91173,7 +91001,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1921 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_1927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91181,23 +91013,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1949 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91205,6 +91029,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_1971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_44_1983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91213,99 +91041,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2016 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_2005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_2036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2048 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_2035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2055 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_2065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_2101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_2098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_2149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91313,95 +91133,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_2193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_2197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_2210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_2225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_2233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91413,43 +91213,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_2334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_2343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_2374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_2350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_2361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_2371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_2379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_2381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_2393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91457,43 +91273,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_2413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_2429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_2490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_2485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_2491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91501,23 +91321,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_44_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_2505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_2517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2523 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_2526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91525,47 +91341,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_2534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_2541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_2547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_2549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_2585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_2560 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_2564 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_2591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_2597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91645,7 +91445,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91669,6 +91469,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_2821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91705,23 +91509,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_2897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_2909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91753,6 +91549,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_2989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91781,10 +91581,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_3045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91797,6 +91593,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_44_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_3065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91809,7 +91609,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91849,6 +91649,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_3177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91857,11 +91661,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_3201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_3201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91889,6 +91693,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_3269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91905,6 +91713,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_3301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91917,10 +91729,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_44_3331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91941,6 +91749,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_338 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_3381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91977,10 +91789,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92001,6 +91809,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_3501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92029,19 +91841,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_3569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_3575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_3578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_3586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92049,43 +91861,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_3599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_3597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_3611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_3600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_3613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_3613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_3621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_3625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_3649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_3638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_3650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_3652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92093,11 +91901,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_3669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_3676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92109,10 +91917,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_44_3704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92125,35 +91929,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_3763 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92161,91 +91953,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_3835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_3885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_3891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_3897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_3901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_3909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_3925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_3913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_3937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_3927 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_3942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_3945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92277,6 +92049,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92297,10 +92073,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92313,10 +92085,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_44_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_4109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92333,6 +92113,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92353,11 +92137,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_4185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_4185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92369,7 +92153,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92385,6 +92169,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92401,6 +92189,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_44_4283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92409,10 +92201,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92421,11 +92209,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_4321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_4321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92449,6 +92237,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92481,10 +92273,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_44_4451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92505,7 +92293,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92553,10 +92345,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92569,6 +92357,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_44_4621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92633,31 +92425,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_44_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92677,6 +92473,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_44_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92689,27 +92489,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92717,39 +92521,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92757,15 +92561,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92773,27 +92577,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92801,131 +92605,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_44_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1029 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92933,11 +92733,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1046 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92945,231 +92741,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_1330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_1356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_1401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93177,19 +92953,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_1492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93201,15 +92985,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_1538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_1556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93217,19 +93009,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_1596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93241,27 +93037,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_45_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93269,35 +93069,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93305,19 +93097,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1762 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93333,67 +93129,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1905 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1920 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_1932 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_1944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93405,47 +93209,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_2017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_2056 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2036 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_2048 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_2054 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_2062 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_2065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93453,55 +93277,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2080 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_2091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_2112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_2153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_2154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_2177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_2169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_2179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93513,11 +93345,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93525,27 +93357,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_2201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_2237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_2236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93553,91 +93389,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_2290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_2321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_2344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_2365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_2384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_2353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_2359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_2369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_2399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_2421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_2433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_2445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93645,107 +93497,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_45_2463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_2478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_2486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_2506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_2513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_2569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_2569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_2572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_2577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_2625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_2630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_2631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93761,11 +93597,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93797,6 +93633,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_45_2743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93813,10 +93653,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_2781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93833,10 +93669,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93853,10 +93685,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_45_2855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93865,11 +93693,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_2869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_2869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93905,6 +93733,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_45_2961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93917,10 +93749,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_297 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_2981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93929,10 +93757,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_45_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93941,6 +93765,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_45_3017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93953,10 +93781,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_3037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93965,6 +93789,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_3061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94009,7 +93837,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94057,11 +93885,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_3261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_3261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94085,6 +93913,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_3317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94145,35 +93977,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_3441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_3441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_3453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_3465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_3465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_3478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_3473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_3485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_3488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94181,19 +94005,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_3497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_3500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_3509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_3512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_3521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94201,7 +94021,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_3541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_3541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_3546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94209,31 +94033,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_3559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_3566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_3572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_3576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_3585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_3599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94241,47 +94061,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_3609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_3610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_3631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_3639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_3644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_3650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94289,7 +94093,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_3667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94305,23 +94109,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_3721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94329,10 +94133,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_45_3740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94341,15 +94141,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_3753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94357,31 +94153,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_3833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3851 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94393,23 +94181,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_3883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_3893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_3889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3892 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3899 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94417,15 +94197,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_3912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94433,15 +94213,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_3937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_3943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_3942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_3955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_3950 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_3954 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_3961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94461,10 +94249,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_399 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94489,6 +94273,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94497,10 +94285,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_407 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_45_4081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94517,6 +94301,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94525,10 +94313,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_45_4137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94561,6 +94345,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94581,10 +94369,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_45_4255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94601,6 +94385,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94609,10 +94397,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_431 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_45_4311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94633,6 +94417,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_45_4361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94645,10 +94433,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94661,6 +94445,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_45_4417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94681,11 +94469,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_4461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_4461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94701,10 +94489,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94713,6 +94497,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94737,6 +94525,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94757,10 +94549,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_4605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94797,11 +94585,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_4697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_4697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94829,35 +94617,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94865,23 +94633,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_551 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94889,51 +94653,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_45_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94941,43 +94713,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94985,71 +94769,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_921 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_934 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95057,63 +94849,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1067 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95121,75 +94909,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_1205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_46_1211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_1223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95197,55 +94997,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_1328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_1336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95253,11 +95061,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_46_1345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_46_1350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95265,95 +95077,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_1373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95361,11 +95157,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95377,19 +95173,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95409,19 +95205,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95429,31 +95221,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_46_1597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_46_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95493,7 +95285,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1699 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95501,11 +95297,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95517,19 +95309,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95537,19 +95329,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_1791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_1795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95557,79 +95357,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1890 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1908 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95649,71 +95441,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_1985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_2037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2054 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_2043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_2053 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_2061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95721,27 +95521,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_2089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2092 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95749,59 +95541,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_2120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_2116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_2143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_2150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_2167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_2160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_2173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_2177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_2183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_2196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_2213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95809,19 +95605,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_2224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95829,19 +95613,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95849,7 +95629,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_46_2283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95861,27 +95645,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_2329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_2337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_2339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_2348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95889,31 +95677,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_2359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_2361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_2379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95921,119 +95709,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_2409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_2432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_2514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_2540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_2573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2565 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2580 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_2587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_2593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_2589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96045,6 +95817,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_2617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96053,10 +95829,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_2633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96073,6 +95845,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_2673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96085,10 +95861,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_2701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96141,10 +95913,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96237,7 +96005,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96245,6 +96013,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96257,10 +96029,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_3049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96293,6 +96061,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_3121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96305,10 +96077,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_314 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_3149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96337,10 +96105,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_3205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96381,6 +96145,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_3301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96393,10 +96161,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_3329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96409,6 +96173,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_3357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96417,10 +96185,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_3373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96445,6 +96209,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_3429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96453,31 +96221,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_3445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_3449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_3453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_3464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_3466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_3475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_3487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_3493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96501,39 +96273,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_3541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_3553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_3550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_3557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_3562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_3566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_3575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_3583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_3601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96541,59 +96317,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_3621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_3617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_3633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_3634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_3647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_3662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_3669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_3671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_3679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96601,23 +96365,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_46_3692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_3697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_3715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96625,11 +96389,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_3725 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96637,11 +96397,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_3753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96657,7 +96417,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_3809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96669,10 +96429,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_46_3860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96685,51 +96441,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_46_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_46_3896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_3903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_3914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_3921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_3933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_3940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_3949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_3961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_3973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_3972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96765,10 +96525,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_4045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96781,6 +96537,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_4073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96809,10 +96569,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96825,6 +96581,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_4169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96837,10 +96597,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_4197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96869,10 +96625,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_4253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96937,6 +96689,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_4393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96949,10 +96705,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_441 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_4421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96989,10 +96741,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97017,7 +96765,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97045,6 +96793,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_4605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97057,10 +96809,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_46_4633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97089,6 +96837,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97101,10 +96853,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_472 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_46_4729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97125,31 +96873,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97165,27 +96913,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97193,27 +96941,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97225,55 +96973,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97281,27 +97029,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97313,23 +97061,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97341,15 +97089,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97357,43 +97101,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1010 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_1016 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1024 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97401,59 +97133,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_1130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_4_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97465,35 +97205,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_1187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97509,19 +97245,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_1224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_1243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_1246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97529,43 +97273,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_1325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_1317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_1323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_1329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97573,55 +97329,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_1381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1390 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97629,67 +97373,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_1432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1491 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97697,39 +97425,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_1626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97741,159 +97473,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_1709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_1767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_1787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_1850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_1862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_1802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_1813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1835 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1862 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_1868 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1884 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1890 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1902 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_1908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_1915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97901,119 +97585,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_1920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_1933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_1949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_1974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_1986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_1991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_1989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_1997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_2033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_2037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_2043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_2045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2045 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_2049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_2057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_2052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_2065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_2080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_2070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_2074 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2077 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_2098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_2089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_2097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_2151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_2149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98021,15 +97697,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_2157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_2160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_2181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_2166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_2170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_2173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_2179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98037,11 +97725,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_2193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_2191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_2194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_2207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98065,10 +97757,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_226 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_4_2263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98109,7 +97797,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98121,23 +97809,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_2373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_2373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_2376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_2379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_2381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_2384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_2390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_2396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98145,23 +97841,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_2429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_2435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_2432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98181,6 +97873,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_4_2485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98197,10 +97893,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_2517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98209,7 +97901,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98229,10 +97921,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_2573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98245,10 +97933,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_4_2603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98277,10 +97961,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_2661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98309,10 +97989,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_2729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98337,11 +98013,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_2785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_2785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98373,6 +98049,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_2865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98393,7 +98073,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98417,10 +98097,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_2953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98445,18 +98121,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98465,6 +98133,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_4_3045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98481,10 +98153,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98505,7 +98173,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98533,11 +98201,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_3177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_3177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98561,6 +98229,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98577,6 +98249,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_4_3275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98589,7 +98265,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98621,6 +98297,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98761,10 +98441,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_4_3661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98777,6 +98453,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98801,10 +98481,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98817,6 +98493,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_4_3773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98845,6 +98525,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_4_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_4_3835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98865,10 +98549,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_4_3885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98881,6 +98561,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98889,6 +98573,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98905,10 +98593,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_3961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98937,11 +98621,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_4029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_4029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98965,43 +98649,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_4085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4097 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_4097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_4103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_4106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_4117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_4114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_4117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_4127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_4139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_4136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99013,10 +98697,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_4_4160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99033,6 +98713,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_4_4204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99041,51 +98725,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_4240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_4278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_4293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_4307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_4305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_4319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_4317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_4331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_4329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_4337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_4339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99097,10 +98777,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_4365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99121,11 +98797,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_4409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_4409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99177,15 +98853,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_4533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_4558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_4545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_4_4557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_4563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99197,23 +98881,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_4589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_4601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_4602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_4613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_4619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_4614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99233,6 +98913,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_4_4669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99253,11 +98937,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_4713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_4713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99273,167 +98957,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_4737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_4751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_4750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_4754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99441,63 +99137,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_911 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99505,23 +99205,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_958 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99533,11 +99241,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99545,55 +99257,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1074 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_5_1082 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_1087 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_1093 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99601,10 +99329,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99613,39 +99337,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99653,99 +99361,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_1235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_1286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_1303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_1289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1300 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99753,47 +99449,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_1353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_1357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99801,87 +99497,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1484 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99889,67 +99565,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_1670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99957,75 +99641,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_1741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100033,63 +99717,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_1846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_1859 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1871 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1874 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1888 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_1897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100097,39 +99757,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1935 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1942 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_1951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100137,31 +99781,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_1997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_1999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_20 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_2009 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_2011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100181,39 +99817,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_2065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_2071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_2059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2073 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_2063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_2066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_2075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_2087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_2099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_2121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_2111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_2123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100225,6 +99865,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_2141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100233,43 +99877,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_2165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_2177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_2177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_2183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_2180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_2185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_2189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_2192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_2198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_2233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_2239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_2204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_2210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_2222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_2234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100277,6 +99929,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100285,10 +99941,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_2277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100349,7 +100001,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100377,7 +100029,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100405,10 +100057,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_2513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100421,6 +100069,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_2533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100429,11 +100081,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_2557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100449,11 +100101,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_2589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_2589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100469,6 +100121,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_5_2631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100477,10 +100133,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_2645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100505,7 +100157,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100561,10 +100213,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100577,11 +100225,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_2849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_2849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100605,6 +100253,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_2905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100649,6 +100301,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100713,7 +100369,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100741,7 +100397,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100769,6 +100425,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100837,6 +100497,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_5_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_3409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100889,6 +100553,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_5_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_3521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100909,10 +100577,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100929,6 +100593,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100937,6 +100605,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100949,10 +100621,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100969,6 +100637,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_368 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_3689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101005,6 +100677,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101029,6 +100705,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101065,10 +100745,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_3901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101093,11 +100769,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_3957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_3957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101121,10 +100797,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_4013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101141,6 +100813,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_4045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101153,7 +100829,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101169,39 +100845,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_4101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_4113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_4113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_4119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_4122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_4126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_4136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_4129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_4140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_4145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_4153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_4150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101225,7 +100901,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101233,51 +100909,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_5_4201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_4205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_4213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_4210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_4232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_4252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_4250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_4259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_4265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_4282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_4285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_4292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_4297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_4298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_4309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_4310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101289,6 +100965,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_4337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101309,10 +100989,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_4381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101385,31 +101061,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_4537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_4537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_4541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_4549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_4547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_4555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_4561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_4567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_4573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_4579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_4585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101473,39 +101145,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_4729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_4729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_4735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_4753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_4738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_4746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_4751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101513,7 +101173,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101521,15 +101181,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101537,163 +101193,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_5_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101701,51 +101365,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_926 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101757,231 +101425,223 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_1098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_1249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_1261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_1297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_1305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_1298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_1317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101993,119 +101653,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_1373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_1370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_1503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_1516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_1529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102113,59 +101789,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_1651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_1659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_1667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102173,6 +101861,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_6_1687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102181,23 +101873,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_1699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_1732 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_1737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102213,67 +101917,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_1767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_1809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_1859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_1857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_186 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_1869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_1871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102289,10 +101989,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_1901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102341,11 +102037,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_2001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_2001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102373,10 +102069,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102385,6 +102077,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_2093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102393,10 +102089,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102409,10 +102101,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102429,27 +102117,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_2181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_2181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_2185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_2193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_2197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_2205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_2211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_2209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102457,10 +102145,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102485,6 +102169,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102493,10 +102181,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102513,11 +102197,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_2337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_2337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102545,11 +102229,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_2405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_2405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102569,10 +102257,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102585,6 +102269,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_2485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102621,10 +102309,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102641,6 +102325,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_6_2603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102657,10 +102345,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102677,10 +102361,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102693,10 +102373,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_2709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102717,7 +102393,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102737,10 +102413,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102753,6 +102425,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_2821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102765,10 +102441,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102781,6 +102453,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_2877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102801,10 +102477,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_2909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102813,6 +102485,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_2933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102837,11 +102513,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_2989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_2989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102869,10 +102545,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_3045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102889,6 +102561,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_3077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102965,7 +102641,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102997,11 +102673,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_3301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_3301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103021,11 +102701,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_3345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_3345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103049,11 +102729,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_3401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_3401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103069,6 +102749,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_6_3443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103085,10 +102769,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_3481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103101,11 +102781,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_3501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_3501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103133,11 +102813,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_3569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_3569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103149,10 +102829,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_3605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103201,10 +102877,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_3705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103233,11 +102905,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_3761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_3761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103257,6 +102929,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_3805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103265,11 +102941,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_3829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_3829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103293,11 +102969,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_3885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_3885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103321,6 +102997,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_3941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103333,10 +103013,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_3961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103361,7 +103037,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103389,11 +103065,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_4073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_4073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103401,15 +103077,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_4097 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_4105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_4097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103421,15 +103089,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_4117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_4117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_4125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_4129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103445,11 +103109,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_4147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_4154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_4153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103457,27 +103121,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_4160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_4166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_4173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_4178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_4185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_4191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_4196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103485,39 +103153,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_6_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_6_4224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_4245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_4251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_4257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_4245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_4261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_4251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_4280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_4278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103529,11 +103189,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103565,11 +103221,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_4377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_4377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103597,10 +103253,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_4445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103613,6 +103265,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_4465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103625,6 +103281,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_4501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103637,10 +103297,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_4521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103669,10 +103325,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_4589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103701,10 +103353,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_4657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103757,39 +103405,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103797,15 +103429,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103813,11 +103445,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103833,27 +103465,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103861,55 +103489,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_749 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103917,31 +103553,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_779 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_782 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103949,31 +103573,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_835 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_847 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_6_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103981,39 +103625,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_907 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_911 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104021,11 +103673,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104033,43 +103685,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_999 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104081,27 +103729,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104113,23 +103761,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1096 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104137,27 +103789,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_1173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104169,11 +103821,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104181,35 +103833,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_1259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104221,10 +103869,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_1301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104241,10 +103885,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104257,11 +103897,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104273,83 +103913,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_1415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_1438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_1420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_1453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_1478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104357,23 +103989,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_1542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_1546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104381,35 +104017,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_1593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_1615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104417,87 +104049,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_1681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_1728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1775 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_1787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104505,35 +104141,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_1796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_1829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_1826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_1841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_1838 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_1846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_1847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104545,10 +104177,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_1873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104557,10 +104185,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_1897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104577,10 +104213,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_194 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_1941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104597,6 +104229,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_1973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104621,10 +104257,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_202 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104633,6 +104265,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104649,10 +104285,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104665,6 +104297,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_2121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104677,10 +104313,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104697,6 +104329,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_2183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104709,14 +104345,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_22 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104737,10 +104365,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104749,11 +104373,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_2277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_2277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104777,6 +104401,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104793,10 +104421,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_236 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104809,10 +104433,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_2401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104837,10 +104457,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_2457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104893,10 +104509,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_2575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104929,6 +104541,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104953,7 +104569,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104985,11 +104605,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_2769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_2769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105009,10 +104629,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105045,10 +104661,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105057,6 +104669,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_2911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105065,10 +104681,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_2925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105081,10 +104693,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_2961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105097,11 +104705,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_2981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_2981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105109,7 +104717,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105161,6 +104769,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_31 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105225,7 +104837,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105245,6 +104857,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105257,6 +104873,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_3303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105277,10 +104897,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_3353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105293,10 +104909,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105305,6 +104917,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105321,10 +104937,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105341,6 +104953,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_3471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105349,10 +104965,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105361,6 +104973,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105377,10 +104993,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105409,7 +105021,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105437,6 +105053,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105457,18 +105077,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105489,7 +105101,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105505,10 +105117,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105521,6 +105129,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105533,10 +105145,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105553,6 +105161,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105561,10 +105173,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_3913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105577,6 +105185,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105585,10 +105197,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_3957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105597,6 +105205,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_3975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105609,6 +105221,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_4001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105621,10 +105237,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_4031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105641,7 +105253,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105669,10 +105281,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_412 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_4125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105693,35 +105301,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_4156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_4160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_4162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_4165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_4169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_4172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_4179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_4186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105729,51 +105337,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_4203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_4204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_4209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_4210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_4234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_4240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_4246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_4244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_4250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_4254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_4257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_4273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_4260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_4285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_4266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_4297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_4278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_4309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_4290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_4302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_7_4310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105781,11 +105397,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_4325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_4325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105837,11 +105453,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_4437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_4437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105853,10 +105469,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_4473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105869,10 +105481,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_4493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105901,10 +105509,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_456 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_4561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105917,6 +105521,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_7_4591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105937,10 +105545,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_4641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105953,11 +105557,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_4661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_4661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105981,10 +105585,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_4717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105993,6 +105593,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_4741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106001,15 +105605,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_484 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106021,43 +105621,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_7_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106065,11 +105653,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106077,15 +105665,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_6 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106093,19 +105677,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106113,19 +105693,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106133,19 +105721,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106157,10 +105737,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_7_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106177,11 +105753,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106197,59 +105777,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_885 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106257,11 +105829,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_902 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106269,51 +105845,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_967 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106321,10 +105885,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106333,6 +105893,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_8_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106353,10 +105917,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106369,7 +105929,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106381,47 +105941,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_1173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_1185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_1197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106453,10 +106009,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_1261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106465,6 +106017,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_1285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106525,7 +106081,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106537,95 +106093,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_1429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_1431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_1441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_1439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_1453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_1449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_1461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_1461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_1465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_1477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_1483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_1485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_1494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_1489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_1502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_1518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_1533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_1541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_1539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_1568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_1587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_1591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106633,27 +106193,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_1604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_1612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_1619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_1629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106665,67 +106225,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_1653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_1689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_1701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_1711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_1700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_1711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_1721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_1737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_1743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106745,11 +106297,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_1789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106781,10 +106333,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_186 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_1869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106797,11 +106345,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_1889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106829,10 +106381,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_1957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106853,7 +106401,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106881,10 +106429,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_2057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106893,6 +106437,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_2081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106905,11 +106453,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_2101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_2101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106937,11 +106485,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_2169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_2169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106953,7 +106501,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106973,6 +106521,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_2237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106981,10 +106533,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_2261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106997,11 +106545,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_2281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_2281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107025,15 +106573,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_2337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_2349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_2349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107057,10 +106609,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_2405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107069,6 +106617,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_2429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107093,6 +106645,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_2485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107117,7 +106673,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107157,10 +106713,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_2617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107169,11 +106721,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_2641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_2641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107201,6 +106753,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_2709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107225,10 +106785,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_2765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107241,6 +106797,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_2785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107253,6 +106813,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_2821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107277,11 +106841,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_2877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_2877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107297,10 +106861,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_2909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107309,6 +106869,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_2933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107349,11 +106913,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_3009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_3009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107365,6 +106929,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_3045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107389,7 +106957,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107437,11 +107005,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_3201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_3201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107493,11 +107061,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_3325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_3325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107521,6 +107089,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_338 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_3381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107537,10 +107109,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_3413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107553,6 +107121,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_3443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107573,10 +107145,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_3493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107585,10 +107153,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_3501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107605,11 +107169,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_3549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_3549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107633,6 +107197,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_3605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107649,10 +107217,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_3637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107661,7 +107225,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107689,6 +107253,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_3717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107713,7 +107281,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107745,6 +107313,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_3835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107769,7 +107341,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107805,6 +107377,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_3961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107813,6 +107389,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_3985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107829,10 +107409,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_4017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107841,6 +107417,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_4041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107869,6 +107449,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_4109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107885,23 +107469,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_4141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_4146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_4145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_4148 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_4158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107909,31 +107485,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_8_4168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_4175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_4179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_4181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_4187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_4195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_4198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_4195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107941,11 +107509,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_4201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_4207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_4215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107957,39 +107533,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_4231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_4231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_4237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_4239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_4243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_4255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_4267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_4279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_4260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_4266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_4278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_4283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107997,10 +107565,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_4297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108025,10 +107589,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_4353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108041,10 +107601,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_438 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_4389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108069,11 +107625,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_4445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_4445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108097,10 +107653,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_4501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108141,11 +107693,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_4589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_4589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108173,10 +107725,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_4657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108197,6 +107745,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_8_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_4701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108205,10 +107757,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_472 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_4725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108225,43 +107773,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_8_4753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108269,55 +107809,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108329,51 +107873,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108397,6 +107929,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108405,10 +107941,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108421,10 +107953,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108441,6 +107969,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108449,10 +107981,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_8_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108481,39 +108009,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_8_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_950 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108525,11 +108049,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108541,10 +108061,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108581,6 +108097,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108597,10 +108117,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108613,6 +108129,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_1169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108621,35 +108141,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_1177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_1185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1228 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108657,6 +108169,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_1245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108669,10 +108185,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_1281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108693,6 +108205,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_1325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108701,10 +108217,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_1343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108713,27 +108225,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_1357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_1389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_1397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108745,10 +108257,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_1425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108769,55 +108277,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_1469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_1493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_1515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_1523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_1513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_1539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_1532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_1536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_1546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_1550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108829,31 +108353,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_1617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108861,7 +108381,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_1631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_1628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108869,15 +108393,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_1652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108885,7 +108409,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_1671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_1673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108893,75 +108421,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_1687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_1694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_1713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_1715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_1729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_1733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_1739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_1781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1773 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_1785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_1791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_1789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108969,6 +108489,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_9_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108997,11 +108521,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109017,10 +108541,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_190 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_1903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109089,15 +108609,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_2041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_2053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_2053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109125,7 +108649,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109149,11 +108673,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_2165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_2165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109169,10 +108693,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_2197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_2209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109197,10 +108729,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_2253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109209,6 +108737,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_2277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109229,11 +108761,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_2321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_2321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109265,7 +108797,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109281,11 +108813,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_2421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_2421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109301,6 +108833,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_2463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109317,7 +108853,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109421,6 +108957,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_2737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109437,10 +108977,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_2769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109449,6 +108985,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_2793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109469,7 +109009,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109497,10 +109037,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_2893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109529,6 +109065,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_2961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109549,7 +109089,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109557,10 +109097,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_3017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109573,6 +109109,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_9_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109585,6 +109125,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_3073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109633,10 +109177,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109645,6 +109185,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_3191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109653,6 +109197,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109677,6 +109225,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109685,10 +109237,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109713,6 +109261,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_9_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109785,7 +109337,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109813,6 +109365,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109841,7 +109397,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109869,6 +109425,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109885,10 +109445,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109897,7 +109453,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109929,6 +109485,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_3801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109953,10 +109513,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_3857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109969,6 +109525,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109997,10 +109557,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_3933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110041,6 +109597,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_4031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110053,10 +109613,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_4057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110077,10 +109633,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_4101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110097,43 +109649,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_4143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_4145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_4145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_4153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_4157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_4156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_4169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_4162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_4173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_4176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_4174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_4180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_4186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_4183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_4198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_4191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_4196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110145,27 +109709,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_4212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_4212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_4220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_4218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_4226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_4226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_4234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_4229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_4240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_4235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_4252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_4247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_4255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110173,10 +109741,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_4269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110201,10 +109765,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_4325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110229,10 +109789,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_438 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_4381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110285,7 +109841,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110293,10 +109849,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_4505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110309,6 +109861,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_4535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110317,10 +109873,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_4549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110345,11 +109897,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_4605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_4605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110373,7 +109925,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110389,10 +109941,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_4697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110409,6 +109957,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_4729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110421,35 +109973,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110461,11 +110001,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110473,67 +110013,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_639 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110541,43 +110077,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110617,11 +110145,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110649,11 +110177,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110677,19 +110205,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110697,19 +110225,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_977 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119369,1091 +118897,1085 @@
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_999 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_1 _0768_ (.A(\u_m_wb_stage.holding_busy ),
+ sky130_fd_sc_hd__or3_2 _0729_ (.A(\u_m_wb_stage.holding_busy ),
     .B(\u_m_wb_stage.m_wbd_ack_o ),
     .C(_0180_),
-    .X(_0740_),
+    .X(_0702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0769_ (.A(_0740_),
-    .Y(_0741_),
+ sky130_fd_sc_hd__inv_2 _0730_ (.A(_0702_),
+    .Y(_0703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0770_ (.A(_0741_),
-    .X(_0742_),
+ sky130_fd_sc_hd__clkbuf_2 _0731_ (.A(_0703_),
+    .X(_0704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _0771_ (.A(_0742_),
-    .X(_0743_),
+ sky130_fd_sc_hd__buf_6 _0732_ (.A(_0704_),
+    .X(_0705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0772_ (.A(\u_m_wb_stage.holding_busy ),
-    .Y(_0744_),
+ sky130_fd_sc_hd__buf_2 _0733_ (.A(_0705_),
+    .X(_0706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0773_ (.A(_0740_),
-    .X(_0745_),
+ sky130_fd_sc_hd__inv_2 _0734_ (.A(\u_m_wb_stage.holding_busy ),
+    .Y(_0707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _0774_ (.A1(_0744_),
+ sky130_fd_sc_hd__clkbuf_2 _0735_ (.A(_0702_),
+    .X(_0708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _0736_ (.A1(_0707_),
     .A2(_0184_),
-    .B1(_0745_),
-    .X(_0746_),
+    .B1(_0708_),
+    .X(_0709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0775_ (.A(_0746_),
-    .X(_0747_),
+ sky130_fd_sc_hd__buf_2 _0737_ (.A(_0709_),
+    .X(_0710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0776_ (.A(_0747_),
-    .X(_0748_),
+ sky130_fd_sc_hd__clkbuf_4 _0738_ (.A(_0710_),
+    .X(_0711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0777_ (.A1(_0064_),
-    .A2(_0743_),
+ sky130_fd_sc_hd__a22o_1 _0739_ (.A1(_0064_),
+    .A2(_0706_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[30] ),
-    .B2(_0748_),
+    .B2(_0711_),
     .X(_0255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0778_ (.A1(_0062_),
-    .A2(_0743_),
+ sky130_fd_sc_hd__a22o_1 _0740_ (.A1(_0062_),
+    .A2(_0706_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[29] ),
-    .B2(_0748_),
+    .B2(_0711_),
     .X(_0254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _0779_ (.A(_0746_),
-    .X(_0749_),
+ sky130_fd_sc_hd__buf_4 _0741_ (.A(_0710_),
+    .X(_0712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0780_ (.A(_0749_),
-    .X(_0750_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0781_ (.A1(_0060_),
-    .A2(_0743_),
+ sky130_fd_sc_hd__a22o_1 _0742_ (.A1(_0060_),
+    .A2(_0706_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[28] ),
-    .B2(_0750_),
+    .B2(_0712_),
     .X(_0253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0782_ (.A(_0741_),
-    .X(_0751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0783_ (.A(_0751_),
-    .X(_0752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0784_ (.A1(_0058_),
-    .A2(_0752_),
+ sky130_fd_sc_hd__a22o_1 _0743_ (.A1(_0058_),
+    .A2(_0706_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[27] ),
-    .B2(_0750_),
+    .B2(_0712_),
     .X(_0252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0785_ (.A1(_0056_),
-    .A2(_0752_),
+ sky130_fd_sc_hd__clkbuf_2 _0744_ (.A(_0705_),
+    .X(_0713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0745_ (.A1(_0056_),
+    .A2(_0713_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[26] ),
-    .B2(_0750_),
+    .B2(_0712_),
     .X(_0251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0786_ (.A1(_0054_),
-    .A2(_0752_),
+ sky130_fd_sc_hd__a22o_1 _0746_ (.A1(_0054_),
+    .A2(_0713_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[25] ),
-    .B2(_0750_),
+    .B2(_0712_),
     .X(_0250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0787_ (.A(_0749_),
-    .X(_0753_),
+ sky130_fd_sc_hd__buf_4 _0747_ (.A(_0709_),
+    .X(_0714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0788_ (.A1(_0052_),
-    .A2(_0752_),
+ sky130_fd_sc_hd__buf_2 _0748_ (.A(_0714_),
+    .X(_0715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_4 _0749_ (.A(_0715_),
+    .X(_0716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0750_ (.A1(_0052_),
+    .A2(_0713_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[24] ),
-    .B2(_0753_),
+    .B2(_0716_),
     .X(_0249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0789_ (.A(_0751_),
-    .X(_0754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0790_ (.A1(_0050_),
-    .A2(_0754_),
+ sky130_fd_sc_hd__a22o_1 _0751_ (.A1(_0050_),
+    .A2(_0713_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[23] ),
-    .B2(_0753_),
+    .B2(_0716_),
     .X(_0248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0791_ (.A1(_0048_),
-    .A2(_0754_),
+ sky130_fd_sc_hd__clkbuf_4 _0752_ (.A(_0705_),
+    .X(_0717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0753_ (.A1(_0048_),
+    .A2(_0717_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[22] ),
-    .B2(_0753_),
+    .B2(_0716_),
     .X(_0247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0792_ (.A1(_0046_),
-    .A2(_0754_),
+ sky130_fd_sc_hd__a22o_1 _0754_ (.A1(_0046_),
+    .A2(_0717_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[21] ),
-    .B2(_0753_),
+    .B2(_0716_),
     .X(_0246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 _0793_ (.A(_0747_),
-    .X(_0755_),
+ sky130_fd_sc_hd__clkbuf_2 _0755_ (.A(_0715_),
+    .X(_0718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0794_ (.A(_0755_),
-    .X(_0756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0795_ (.A1(_0044_),
-    .A2(_0754_),
+ sky130_fd_sc_hd__a22o_1 _0756_ (.A1(_0044_),
+    .A2(_0717_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[20] ),
-    .B2(_0756_),
+    .B2(_0718_),
     .X(_0245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0796_ (.A(_0751_),
-    .X(_0757_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0797_ (.A1(_0042_),
-    .A2(_0757_),
+ sky130_fd_sc_hd__a22o_1 _0757_ (.A1(_0042_),
+    .A2(_0717_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[19] ),
-    .B2(_0756_),
+    .B2(_0718_),
     .X(_0244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0798_ (.A1(_0040_),
-    .A2(_0757_),
+ sky130_fd_sc_hd__buf_6 _0758_ (.A(_0704_),
+    .X(_0719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0759_ (.A(_0719_),
+    .X(_0720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0760_ (.A1(_0040_),
+    .A2(_0720_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[18] ),
-    .B2(_0756_),
+    .B2(_0718_),
     .X(_0243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _0799_ (.A1(_0038_),
-    .A2(_0757_),
+ sky130_fd_sc_hd__a22o_1 _0761_ (.A1(_0038_),
+    .A2(_0720_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[17] ),
-    .B2(_0756_),
+    .B2(_0718_),
     .X(_0242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0800_ (.A(_0755_),
-    .X(_0758_),
+ sky130_fd_sc_hd__clkbuf_4 _0762_ (.A(_0715_),
+    .X(_0721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0801_ (.A1(_0036_),
-    .A2(_0757_),
+ sky130_fd_sc_hd__a22o_1 _0763_ (.A1(_0036_),
+    .A2(_0720_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[16] ),
-    .B2(_0758_),
+    .B2(_0721_),
     .X(_0241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 _0802_ (.A(_0742_),
-    .X(_0759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0803_ (.A(_0759_),
-    .X(_0760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0804_ (.A1(_0034_),
-    .A2(_0760_),
+ sky130_fd_sc_hd__a22o_1 _0764_ (.A1(_0034_),
+    .A2(_0720_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[15] ),
-    .B2(_0758_),
+    .B2(_0721_),
     .X(_0240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0805_ (.A1(_0032_),
-    .A2(_0760_),
+ sky130_fd_sc_hd__buf_2 _0765_ (.A(_0719_),
+    .X(_0722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0766_ (.A1(_0032_),
+    .A2(_0722_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[14] ),
-    .B2(_0758_),
+    .B2(_0721_),
     .X(_0239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0806_ (.A1(_0030_),
-    .A2(_0760_),
+ sky130_fd_sc_hd__a22o_1 _0767_ (.A1(_0030_),
+    .A2(_0722_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[13] ),
-    .B2(_0758_),
+    .B2(_0721_),
     .X(_0238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0807_ (.A(_0755_),
-    .X(_0761_),
+ sky130_fd_sc_hd__buf_4 _0768_ (.A(_0715_),
+    .X(_0723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0808_ (.A1(_0028_),
-    .A2(_0760_),
+ sky130_fd_sc_hd__a22o_2 _0769_ (.A1(_0028_),
+    .A2(_0722_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[12] ),
-    .B2(_0761_),
+    .B2(_0723_),
     .X(_0237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0809_ (.A(_0759_),
-    .X(_0762_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0810_ (.A1(_0026_),
-    .A2(_0762_),
+ sky130_fd_sc_hd__a22o_1 _0770_ (.A1(_0026_),
+    .A2(_0722_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[11] ),
-    .B2(_0761_),
+    .B2(_0723_),
     .X(_0236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0811_ (.A1(_0024_),
-    .A2(_0762_),
+ sky130_fd_sc_hd__clkbuf_4 _0771_ (.A(_0719_),
+    .X(_0724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0772_ (.A1(_0024_),
+    .A2(_0724_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[10] ),
-    .B2(_0761_),
+    .B2(_0723_),
     .X(_0235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0812_ (.A1(_0022_),
-    .A2(_0762_),
+ sky130_fd_sc_hd__a22o_1 _0773_ (.A1(_0022_),
+    .A2(_0724_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[9] ),
-    .B2(_0761_),
+    .B2(_0723_),
     .X(_0234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0813_ (.A(_0755_),
-    .X(_0763_),
+ sky130_fd_sc_hd__buf_2 _0774_ (.A(_0709_),
+    .X(_0725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0814_ (.A1(_0020_),
-    .A2(_0762_),
+ sky130_fd_sc_hd__buf_4 _0775_ (.A(_0725_),
+    .X(_0726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0776_ (.A1(_0020_),
+    .A2(_0724_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[8] ),
-    .B2(_0763_),
+    .B2(_0726_),
     .X(_0233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 _0815_ (.A(_0759_),
-    .X(_0764_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0816_ (.A1(_0018_),
-    .A2(_0764_),
+ sky130_fd_sc_hd__a22o_1 _0777_ (.A1(_0018_),
+    .A2(_0724_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[7] ),
-    .B2(_0763_),
+    .B2(_0726_),
     .X(_0232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0817_ (.A1(_0016_),
-    .A2(_0764_),
+ sky130_fd_sc_hd__clkbuf_4 _0778_ (.A(_0719_),
+    .X(_0727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0779_ (.A1(_0016_),
+    .A2(_0727_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[6] ),
-    .B2(_0763_),
+    .B2(_0726_),
     .X(_0231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0818_ (.A1(_0014_),
-    .A2(_0764_),
+ sky130_fd_sc_hd__a22o_1 _0780_ (.A1(_0014_),
+    .A2(_0727_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[5] ),
-    .B2(_0763_),
+    .B2(_0726_),
     .X(_0230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0819_ (.A(_0746_),
-    .X(_0765_),
+ sky130_fd_sc_hd__clkbuf_1 _0781_ (.A(_0725_),
+    .X(_0728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0820_ (.A(_0765_),
-    .X(_0766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0821_ (.A1(_0012_),
-    .A2(_0764_),
+ sky130_fd_sc_hd__a22o_1 _0782_ (.A1(_0012_),
+    .A2(_0727_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[4] ),
-    .B2(_0766_),
+    .B2(_0728_),
     .X(_0229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0822_ (.A(_0759_),
-    .X(_0767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0823_ (.A1(_0010_),
-    .A2(_0767_),
+ sky130_fd_sc_hd__a22o_1 _0783_ (.A1(_0010_),
+    .A2(_0727_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[3] ),
-    .B2(_0766_),
+    .B2(_0728_),
     .X(_0228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0824_ (.A1(_0008_),
-    .A2(_0767_),
-    .B1(\u_m_wb_stage.m_wbd_dat_i_ff[2] ),
-    .B2(_0766_),
-    .X(_0227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0825_ (.A1(_0006_),
-    .A2(_0767_),
-    .B1(\u_m_wb_stage.m_wbd_dat_i_ff[1] ),
-    .B2(_0766_),
-    .X(_0226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0826_ (.A(_0765_),
+ sky130_fd_sc_hd__buf_2 _0784_ (.A(_0703_),
     .X(_0257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0827_ (.A1(_0004_),
-    .A2(_0767_),
-    .B1(\u_m_wb_stage.m_wbd_dat_i_ff[0] ),
-    .B2(_0257_),
-    .X(_0225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0828_ (.A(_0742_),
+ sky130_fd_sc_hd__clkbuf_2 _0785_ (.A(_0257_),
     .X(_0258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0829_ (.A(_0258_),
+ sky130_fd_sc_hd__a22o_1 _0786_ (.A1(_0008_),
+    .A2(_0258_),
+    .B1(\u_m_wb_stage.m_wbd_dat_i_ff[2] ),
+    .B2(_0728_),
+    .X(_0227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0787_ (.A1(_0006_),
+    .A2(_0258_),
+    .B1(\u_m_wb_stage.m_wbd_dat_i_ff[1] ),
+    .B2(_0728_),
+    .X(_0226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0788_ (.A(_0725_),
     .X(_0259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0830_ (.A1(_0126_),
-    .A2(_0259_),
+ sky130_fd_sc_hd__a22o_1 _0789_ (.A1(_0004_),
+    .A2(_0258_),
+    .B1(\u_m_wb_stage.m_wbd_dat_i_ff[0] ),
+    .B2(_0259_),
+    .X(_0225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0790_ (.A1(_0126_),
+    .A2(_0258_),
     .B1(\u_m_wb_stage.m_wbd_adr_i_ff[31] ),
-    .B2(_0257_),
+    .B2(_0259_),
     .X(_0224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0831_ (.A1(_0124_),
-    .A2(_0259_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[30] ),
-    .B2(_0257_),
-    .X(_0223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0832_ (.A1(_0122_),
-    .A2(_0259_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[29] ),
-    .B2(_0257_),
-    .X(_0222_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0833_ (.A(_0765_),
+ sky130_fd_sc_hd__clkbuf_2 _0791_ (.A(_0257_),
     .X(_0260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0834_ (.A1(_0120_),
-    .A2(_0259_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[28] ),
-    .B2(_0260_),
-    .X(_0221_),
+ sky130_fd_sc_hd__a22o_1 _0792_ (.A1(_0124_),
+    .A2(_0260_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[30] ),
+    .B2(_0259_),
+    .X(_0223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0835_ (.A(_0258_),
+ sky130_fd_sc_hd__a22o_1 _0793_ (.A1(_0122_),
+    .A2(_0260_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[29] ),
+    .B2(_0259_),
+    .X(_0222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0794_ (.A(_0725_),
     .X(_0261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0836_ (.A1(_0118_),
-    .A2(_0261_),
+ sky130_fd_sc_hd__a22o_1 _0795_ (.A1(_0120_),
+    .A2(_0260_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[28] ),
+    .B2(_0261_),
+    .X(_0221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0796_ (.A1(_0118_),
+    .A2(_0260_),
     .B1(\u_m_wb_stage.m_wbd_adr_i_ff[27] ),
-    .B2(_0260_),
+    .B2(_0261_),
     .X(_0220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0837_ (.A1(_0116_),
-    .A2(_0261_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[26] ),
-    .B2(_0260_),
-    .X(_0219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0838_ (.A1(_0114_),
-    .A2(_0261_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[25] ),
-    .B2(_0260_),
-    .X(_0218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0839_ (.A(_0765_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0797_ (.A(_0257_),
     .X(_0262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0840_ (.A1(_0112_),
-    .A2(_0261_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[24] ),
-    .B2(_0262_),
-    .X(_0217_),
+ sky130_fd_sc_hd__a22o_1 _0798_ (.A1(_0116_),
+    .A2(_0262_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[26] ),
+    .B2(_0261_),
+    .X(_0219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0841_ (.A(_0258_),
+ sky130_fd_sc_hd__a22o_1 _0799_ (.A1(_0114_),
+    .A2(_0262_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[25] ),
+    .B2(_0261_),
+    .X(_0218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0800_ (.A(_0709_),
     .X(_0263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0842_ (.A1(_0110_),
-    .A2(_0263_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[23] ),
-    .B2(_0262_),
-    .X(_0216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0843_ (.A1(_0108_),
-    .A2(_0263_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[22] ),
-    .B2(_0262_),
-    .X(_0215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0844_ (.A1(_0106_),
-    .A2(_0263_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[21] ),
-    .B2(_0262_),
-    .X(_0214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0845_ (.A(_0746_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0801_ (.A(_0263_),
     .X(_0264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0846_ (.A(_0264_),
+ sky130_fd_sc_hd__a22o_1 _0802_ (.A1(_0112_),
+    .A2(_0262_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[24] ),
+    .B2(_0264_),
+    .X(_0217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0803_ (.A1(_0110_),
+    .A2(_0262_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[23] ),
+    .B2(_0264_),
+    .X(_0216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _0804_ (.A(_0257_),
     .X(_0265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0847_ (.A1(_0104_),
-    .A2(_0263_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[20] ),
-    .B2(_0265_),
-    .X(_0213_),
+ sky130_fd_sc_hd__a22o_1 _0805_ (.A1(_0108_),
+    .A2(_0265_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[22] ),
+    .B2(_0264_),
+    .X(_0215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0848_ (.A(_0258_),
+ sky130_fd_sc_hd__a22o_1 _0806_ (.A1(_0106_),
+    .A2(_0265_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[21] ),
+    .B2(_0264_),
+    .X(_0214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _0807_ (.A(_0263_),
     .X(_0266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0849_ (.A1(_0102_),
-    .A2(_0266_),
+ sky130_fd_sc_hd__a22o_1 _0808_ (.A1(_0104_),
+    .A2(_0265_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[20] ),
+    .B2(_0266_),
+    .X(_0213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0809_ (.A1(_0102_),
+    .A2(_0265_),
     .B1(\u_m_wb_stage.m_wbd_adr_i_ff[19] ),
-    .B2(_0265_),
+    .B2(_0266_),
     .X(_0212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0850_ (.A1(_0100_),
-    .A2(_0266_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[18] ),
-    .B2(_0265_),
-    .X(_0211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0851_ (.A1(_0098_),
-    .A2(_0266_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[17] ),
-    .B2(_0265_),
-    .X(_0210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0852_ (.A(_0264_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0810_ (.A(_0703_),
     .X(_0267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0853_ (.A1(_0096_),
-    .A2(_0266_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[16] ),
-    .B2(_0267_),
-    .X(_0209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0854_ (.A(_0741_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0811_ (.A(_0267_),
     .X(_0268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0855_ (.A(_0268_),
+ sky130_fd_sc_hd__a22o_1 _0812_ (.A1(_0100_),
+    .A2(_0268_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[18] ),
+    .B2(_0266_),
+    .X(_0211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0813_ (.A1(_0098_),
+    .A2(_0268_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[17] ),
+    .B2(_0266_),
+    .X(_0210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0814_ (.A(_0263_),
     .X(_0269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0856_ (.A1(_0094_),
-    .A2(_0269_),
+ sky130_fd_sc_hd__a22o_1 _0815_ (.A1(_0096_),
+    .A2(_0268_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[16] ),
+    .B2(_0269_),
+    .X(_0209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0816_ (.A1(_0094_),
+    .A2(_0268_),
     .B1(\u_m_wb_stage.m_wbd_adr_i_ff[15] ),
-    .B2(_0267_),
+    .B2(_0269_),
     .X(_0208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0857_ (.A1(_0092_),
-    .A2(_0269_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[14] ),
-    .B2(_0267_),
-    .X(_0207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0858_ (.A1(_0090_),
-    .A2(_0269_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[13] ),
-    .B2(_0267_),
-    .X(_0206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _0859_ (.A(_0264_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0817_ (.A(_0267_),
     .X(_0270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0860_ (.A1(_0088_),
-    .A2(_0269_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[12] ),
-    .B2(_0270_),
-    .X(_0205_),
+ sky130_fd_sc_hd__a22o_1 _0818_ (.A1(_0092_),
+    .A2(_0270_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[14] ),
+    .B2(_0269_),
+    .X(_0207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0861_ (.A(_0268_),
+ sky130_fd_sc_hd__a22o_1 _0819_ (.A1(_0090_),
+    .A2(_0270_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[13] ),
+    .B2(_0269_),
+    .X(_0206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0820_ (.A(_0263_),
     .X(_0271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0862_ (.A1(_0086_),
-    .A2(_0271_),
+ sky130_fd_sc_hd__a22o_1 _0821_ (.A1(_0088_),
+    .A2(_0270_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[12] ),
+    .B2(_0271_),
+    .X(_0205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0822_ (.A1(_0086_),
+    .A2(_0270_),
     .B1(\u_m_wb_stage.m_wbd_adr_i_ff[11] ),
-    .B2(_0270_),
+    .B2(_0271_),
     .X(_0204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0863_ (.A1(_0084_),
-    .A2(_0271_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[10] ),
-    .B2(_0270_),
-    .X(_0203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0864_ (.A1(_0082_),
-    .A2(_0271_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[9] ),
-    .B2(_0270_),
-    .X(_0202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _0865_ (.A(_0264_),
+ sky130_fd_sc_hd__clkbuf_2 _0823_ (.A(_0267_),
     .X(_0272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0866_ (.A1(_0080_),
-    .A2(_0271_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[8] ),
-    .B2(_0272_),
-    .X(_0201_),
+ sky130_fd_sc_hd__a22o_1 _0824_ (.A1(_0084_),
+    .A2(_0272_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[10] ),
+    .B2(_0271_),
+    .X(_0203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0867_ (.A(_0268_),
+ sky130_fd_sc_hd__a22o_1 _0825_ (.A1(_0082_),
+    .A2(_0272_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[9] ),
+    .B2(_0271_),
+    .X(_0202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _0826_ (.A(_0714_),
     .X(_0273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0868_ (.A1(_0078_),
-    .A2(_0273_),
+ sky130_fd_sc_hd__a22o_1 _0827_ (.A1(_0080_),
+    .A2(_0272_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[8] ),
+    .B2(_0273_),
+    .X(_0201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0828_ (.A1(_0078_),
+    .A2(_0272_),
     .B1(\u_m_wb_stage.m_wbd_adr_i_ff[7] ),
-    .B2(_0272_),
+    .B2(_0273_),
     .X(_0200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0869_ (.A1(_0076_),
-    .A2(_0273_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[6] ),
-    .B2(_0272_),
-    .X(_0199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0870_ (.A1(_0074_),
-    .A2(_0273_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[5] ),
-    .B2(_0272_),
-    .X(_0198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0871_ (.A(_0747_),
+ sky130_fd_sc_hd__clkbuf_2 _0829_ (.A(_0267_),
     .X(_0274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0872_ (.A1(_0072_),
-    .A2(_0273_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[4] ),
-    .B2(_0274_),
-    .X(_0197_),
+ sky130_fd_sc_hd__a22o_1 _0830_ (.A1(_0076_),
+    .A2(_0274_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[6] ),
+    .B2(_0273_),
+    .X(_0199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0873_ (.A(_0268_),
+ sky130_fd_sc_hd__a22o_1 _0831_ (.A1(_0074_),
+    .A2(_0274_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[5] ),
+    .B2(_0273_),
+    .X(_0198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _0832_ (.A(_0714_),
     .X(_0275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0874_ (.A1(_0070_),
-    .A2(_0275_),
+ sky130_fd_sc_hd__a22o_1 _0833_ (.A1(_0072_),
+    .A2(_0274_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[4] ),
+    .B2(_0275_),
+    .X(_0197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0834_ (.A1(_0070_),
+    .A2(_0274_),
     .B1(\u_m_wb_stage.m_wbd_adr_i_ff[3] ),
-    .B2(_0274_),
+    .B2(_0275_),
     .X(_0196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0875_ (.A1(_0068_),
-    .A2(_0275_),
-    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[2] ),
-    .B2(_0274_),
-    .X(_0195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _0876_ (.A1_N(_0140_),
-    .A2_N(_0745_),
-    .B1(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
-    .B2(_0748_),
-    .X(_0194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_1 _0877_ (.A1_N(_0137_),
-    .A2_N(_0745_),
-    .B1(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
-    .B2(_0748_),
-    .X(_0193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0878_ (.A(_0184_),
-    .Y(\u_m_wb_stage.s_wbd_ack_i ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_1 _0879_ (.A1(_0744_),
-    .A2(\u_m_wb_stage.s_wbd_ack_i ),
-    .B1(_0745_),
-    .Y(_0192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0880_ (.A1(_0176_),
-    .A2(_0275_),
-    .B1(\u_m_wb_stage.m_wbd_cyc_i_ff ),
-    .B2(_0274_),
-    .X(_0191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0881_ (.A(_0747_),
+ sky130_fd_sc_hd__buf_2 _0835_ (.A(_0704_),
     .X(_0276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0882_ (.A1(_0174_),
-    .A2(_0275_),
-    .B1(\u_m_wb_stage.m_wbd_we_i_ff ),
-    .B2(_0276_),
-    .X(_0190_),
+ sky130_fd_sc_hd__a22o_1 _0836_ (.A1(_0068_),
+    .A2(_0276_),
+    .B1(\u_m_wb_stage.m_wbd_adr_i_ff[2] ),
+    .B2(_0275_),
+    .X(_0195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _0883_ (.A1(_0744_),
-    .A2(_0184_),
-    .B1(\u_m_wb_stage.m_wbd_stb_i_ff ),
+ sky130_fd_sc_hd__a2bb2o_1 _0837_ (.A1_N(_0140_),
+    .A2_N(_0708_),
+    .B1(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+    .B2(_0711_),
+    .X(_0194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_1 _0838_ (.A1_N(_0137_),
+    .A2_N(_0708_),
+    .B1(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+    .B2(_0711_),
+    .X(_0193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _0839_ (.A(_0184_),
+    .Y(\u_m_wb_stage.s_wbd_ack_i ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_1 _0840_ (.A1(_0707_),
+    .A2(\u_m_wb_stage.s_wbd_ack_i ),
+    .B1(_0708_),
+    .Y(_0192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0841_ (.A1(_0176_),
+    .A2(_0276_),
+    .B1(\u_m_wb_stage.m_wbd_cyc_i_ff ),
+    .B2(_0275_),
+    .X(_0191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _0842_ (.A(_0714_),
     .X(_0277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _0884_ (.A(_0743_),
-    .B(_0277_),
-    .X(_0189_),
+ sky130_fd_sc_hd__a22o_1 _0843_ (.A1(_0174_),
+    .A2(_0276_),
+    .B1(\u_m_wb_stage.m_wbd_we_i_ff ),
+    .B2(_0277_),
+    .X(_0190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _0885_ (.A(_0742_),
+ sky130_fd_sc_hd__o21a_1 _0844_ (.A1(_0707_),
+    .A2(_0184_),
+    .B1(\u_m_wb_stage.m_wbd_stb_i_ff ),
     .X(_0278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0886_ (.A1(_0134_),
-    .A2(_0278_),
+ sky130_fd_sc_hd__or2_1 _0845_ (.A(_0705_),
+    .B(_0278_),
+    .X(_0279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _0846_ (.A(_0279_),
+    .X(_0189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0847_ (.A1(_0134_),
+    .A2(_0276_),
     .B1(\u_m_wb_stage.m_wbd_sel_i_ff[3] ),
-    .B2(_0276_),
+    .B2(_0277_),
     .X(_0188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0887_ (.A1(_0132_),
-    .A2(_0278_),
+ sky130_fd_sc_hd__clkbuf_4 _0848_ (.A(_0704_),
+    .X(_0280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22o_1 _0849_ (.A1(_0132_),
+    .A2(_0280_),
     .B1(\u_m_wb_stage.m_wbd_sel_i_ff[2] ),
-    .B2(_0276_),
+    .B2(_0277_),
     .X(_0187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0888_ (.A1(_0130_),
-    .A2(_0278_),
+ sky130_fd_sc_hd__a22o_1 _0850_ (.A1(_0130_),
+    .A2(_0280_),
     .B1(\u_m_wb_stage.m_wbd_sel_i_ff[1] ),
-    .B2(_0276_),
+    .B2(_0277_),
     .X(_0186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _0889_ (.A1(_0128_),
-    .A2(_0278_),
+ sky130_fd_sc_hd__a22o_1 _0851_ (.A1(_0128_),
+    .A2(_0280_),
     .B1(\u_m_wb_stage.m_wbd_sel_i_ff[0] ),
-    .B2(_0749_),
+    .B2(_0710_),
     .X(_0185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _0890_ (.A(\u_m_wb_stage.m_wbd_ack_o ),
-    .Y(_0279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0891_ (.A(\u_wb_arb.state[1] ),
-    .Y(_0280_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0892_ (.A(_0279_),
-    .B(_0280_),
-    .Y(net412),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_4 _0893_ (.A(\u_wb_arb.state[2] ),
+ sky130_fd_sc_hd__inv_6 _0852_ (.A(\u_m_wb_stage.m_wbd_ack_o ),
     .Y(_0281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _0894_ (.A(_0279_),
-    .B(_0281_),
-    .Y(net379),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _0895_ (.A(net72),
-    .Y(_0177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _0896_ (.A(\u_wb_arb.state[0] ),
+ sky130_fd_sc_hd__clkinv_4 _0853_ (.A(\u_wb_arb.state[1] ),
     .Y(_0282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _0897_ (.A(_0279_),
+ sky130_fd_sc_hd__nor2_1 _0854_ (.A(_0281_),
     .B(_0282_),
-    .Y(net346),
+    .Y(net412),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0898_ (.A(net210),
+ sky130_fd_sc_hd__inv_2 _0855_ (.A(\u_wb_arb.state[2] ),
     .Y(_0283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _0899_ (.A(_0283_),
+ sky130_fd_sc_hd__nor2_4 _0856_ (.A(_0281_),
+    .B(_0283_),
+    .Y(net379),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_6 _0857_ (.A(net72),
+    .Y(_0177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_8 _0858_ (.A(\u_wb_arb.state[0] ),
+    .Y(_0284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_8 _0859_ (.A(_0281_),
+    .B(_0284_),
+    .Y(net346),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _0860_ (.A(net210),
+    .Y(_0285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _0861_ (.A(_0285_),
     .B(net412),
-    .X(_0284_),
+    .X(_0286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0900_ (.A(_0284_),
-    .X(_0285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0901_ (.A(net141),
-    .Y(_0286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _0902_ (.A(_0286_),
-    .B(net379),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0862_ (.A(_0286_),
     .X(_0287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0903_ (.A(_0287_),
+ sky130_fd_sc_hd__inv_2 _0863_ (.A(net141),
     .Y(_0288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 _0904_ (.A(\u_wb_arb.state[2] ),
+ sky130_fd_sc_hd__or2_1 _0864_ (.A(_0288_),
+    .B(net379),
     .X(_0289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _0905_ (.A(_0289_),
-    .X(_0290_),
+ sky130_fd_sc_hd__inv_2 _0865_ (.A(_0289_),
+    .Y(_0290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_2 _0906_ (.A(_0177_),
+ sky130_fd_sc_hd__or2_2 _0866_ (.A(_0177_),
     .B(net346),
     .X(_0291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0907_ (.A(_0290_),
+ sky130_fd_sc_hd__nor2_1 _0867_ (.A(\u_wb_arb.state[2] ),
     .B(_0291_),
     .Y(_0292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0908_ (.A(_0280_),
+ sky130_fd_sc_hd__buf_8 _0868_ (.A(_0282_),
     .X(_0293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0909_ (.A(_0291_),
+ sky130_fd_sc_hd__inv_2 _0869_ (.A(_0291_),
     .Y(_0294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_1 _0910_ (.A1(_0288_),
+ sky130_fd_sc_hd__o21a_1 _0870_ (.A1(_0290_),
     .A2(_0294_),
-    .B1(_0285_),
+    .B1(_0287_),
     .X(_0295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_2 _0911_ (.A1(_0285_),
-    .A2(_0288_),
+ sky130_fd_sc_hd__o32a_1 _0871_ (.A1(_0287_),
+    .A2(_0290_),
     .A3(_0292_),
     .B1(_0293_),
     .B2(_0295_),
@@ -120462,41 +119984,41 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0912_ (.A(_0296_),
+ sky130_fd_sc_hd__inv_2 _0872_ (.A(_0296_),
     .Y(_0001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0913_ (.A(\u_wb_arb.state[0] ),
-    .B(_0285_),
+ sky130_fd_sc_hd__nor2_1 _0873_ (.A(\u_wb_arb.state[0] ),
+    .B(_0287_),
     .Y(_0297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _0914_ (.A(_0281_),
+ sky130_fd_sc_hd__clkbuf_2 _0874_ (.A(_0283_),
     .X(_0298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_1 _0915_ (.A(_0287_),
+ sky130_fd_sc_hd__and3_1 _0875_ (.A(_0289_),
     .B(_0291_),
-    .C(_0284_),
+    .C(_0286_),
     .X(_0299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0916_ (.A(_0288_),
+ sky130_fd_sc_hd__nor2_1 _0876_ (.A(_0290_),
     .B(_0299_),
     .Y(_0300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_1 _0917_ (.A1(_0287_),
+ sky130_fd_sc_hd__o32a_2 _0877_ (.A1(_0289_),
     .A2(_0294_),
     .A3(_0297_),
     .B1(_0298_),
@@ -120506,3868 +120028,3977 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0918_ (.A(_0301_),
+ sky130_fd_sc_hd__clkinv_2 _0878_ (.A(_0301_),
     .Y(_0002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 _0919_ (.A(\u_wb_arb.state[1] ),
-    .X(_0302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _0920_ (.A(_0302_),
-    .X(_0303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0921_ (.A(_0303_),
-    .X(_0304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0922_ (.A(_0290_),
-    .X(_0305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _0923_ (.A(_0304_),
-    .B(_0305_),
+ sky130_fd_sc_hd__nor2_8 _0879_ (.A(\u_wb_arb.state[1] ),
+    .B(\u_wb_arb.state[2] ),
     .Y(_0178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0924_ (.A(_0280_),
-    .X(_0306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0925_ (.A(_0281_),
-    .X(_0307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _0926_ (.A1(_0306_),
-    .A2(_0283_),
-    .B1(_0307_),
-    .B2(_0286_),
+ sky130_fd_sc_hd__o22a_1 _0880_ (.A1(_0293_),
+    .A2(_0285_),
+    .B1(_0298_),
+    .B2(_0288_),
     .X(_0179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _0927_ (.A(net213),
+ sky130_fd_sc_hd__clkinv_4 _0881_ (.A(net213),
     .Y(_0181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _0928_ (.A(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+ sky130_fd_sc_hd__or2_4 _0882_ (.A(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
     .B(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
-    .X(_0308_),
+    .X(_0302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 _0929_ (.A(_0308_),
-    .X(_0309_),
+ sky130_fd_sc_hd__clkbuf_4 _0883_ (.A(_0302_),
+    .X(_0303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0930_ (.A(_0309_),
+ sky130_fd_sc_hd__buf_6 _0884_ (.A(_0303_),
+    .X(_0304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_16 _0885_ (.A(_0304_),
     .Y(_0182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0931_ (.A(net279),
-    .Y(_0310_),
+ sky130_fd_sc_hd__clkinv_2 _0886_ (.A(net312),
+    .Y(_0305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _0932_ (.A(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+ sky130_fd_sc_hd__inv_8 _0887_ (.A(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+    .Y(_0306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_8 _0888_ (.A(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+    .Y(_0307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_2 _0889_ (.A(_0306_),
+    .B(_0307_),
+    .X(_0308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 _0890_ (.A(_0308_),
+    .X(_0309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 _0891_ (.A(_0309_),
+    .X(_0310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _0892_ (.A(net246),
     .Y(_0311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _0933_ (.A(_0311_),
-    .B(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+ sky130_fd_sc_hd__or2_4 _0893_ (.A(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
+    .B(_0307_),
     .X(_0312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 _0934_ (.A(_0312_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _0894_ (.A(_0312_),
     .X(_0313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 _0935_ (.A(_0313_),
+ sky130_fd_sc_hd__buf_2 _0895_ (.A(_0313_),
     .X(_0314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0936_ (.A(net246),
-    .Y(_0315_),
+ sky130_fd_sc_hd__or3b_1 _0896_ (.A(_0306_),
+    .B(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+    .C_N(net279),
+    .X(_0315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _0937_ (.A(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
-    .Y(_0316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _0938_ (.A(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
-    .B(_0316_),
-    .X(_0317_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0939_ (.A(_0317_),
-    .X(_0318_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0940_ (.A(_0318_),
-    .X(_0319_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _0941_ (.A(_0319_),
-    .X(_0320_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _0942_ (.A(_0311_),
-    .B(_0316_),
-    .C_N(net312),
-    .X(_0321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o221a_4 _0943_ (.A1(_0310_),
-    .A2(_0314_),
-    .B1(_0315_),
-    .B2(_0320_),
-    .C1(_0321_),
+ sky130_fd_sc_hd__o221a_4 _0897_ (.A1(_0305_),
+    .A2(_0310_),
+    .B1(_0311_),
+    .B2(_0314_),
+    .C1(_0315_),
     .X(_0183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0944_ (.A(_0285_),
-    .Y(_0322_),
+ sky130_fd_sc_hd__inv_2 _0898_ (.A(_0287_),
+    .Y(_0316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0945_ (.A(_0303_),
-    .B(_0287_),
-    .Y(_0323_),
+ sky130_fd_sc_hd__nor2_1 _0899_ (.A(\u_wb_arb.state[1] ),
+    .B(_0289_),
+    .Y(_0317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0946_ (.A(_0294_),
+ sky130_fd_sc_hd__buf_6 _0900_ (.A(_0284_),
+    .X(_0318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _0901_ (.A(_0294_),
     .B(_0299_),
-    .Y(_0324_),
+    .Y(_0319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_2 _0947_ (.A1(_0322_),
+ sky130_fd_sc_hd__o32a_1 _0902_ (.A1(_0316_),
     .A2(_0291_),
-    .A3(_0323_),
-    .B1(_0282_),
-    .B2(_0324_),
-    .X(_0325_),
+    .A3(_0317_),
+    .B1(_0318_),
+    .B2(_0319_),
+    .X(_0320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _0948_ (.A(_0325_),
+ sky130_fd_sc_hd__inv_2 _0903_ (.A(_0320_),
     .Y(_0000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0949_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[15] ),
-    .Y(_0326_),
+ sky130_fd_sc_hd__clkinv_16 _0904_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[15] ),
+    .Y(_0321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0950_ (.A(_0314_),
-    .X(_0327_),
+ sky130_fd_sc_hd__or2_2 _0905_ (.A(_0306_),
+    .B(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
+    .X(_0322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0951_ (.A(_0326_),
-    .B(_0327_),
+ sky130_fd_sc_hd__clkbuf_2 _0906_ (.A(_0322_),
+    .X(_0323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 _0907_ (.A(_0323_),
+    .X(_0324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _0908_ (.A(_0324_),
+    .X(_0325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _0909_ (.A(_0325_),
+    .X(_0326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _0910_ (.A(_0321_),
+    .B(_0326_),
     .Y(net592),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0952_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[16] ),
-    .Y(_0328_),
+ sky130_fd_sc_hd__clkinv_16 _0911_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[16] ),
+    .Y(_0327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0953_ (.A(_0328_),
-    .B(_0327_),
+ sky130_fd_sc_hd__nor2_1 _0912_ (.A(_0327_),
+    .B(_0326_),
     .Y(net593),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0954_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[17] ),
-    .Y(_0329_),
+ sky130_fd_sc_hd__clkinv_16 _0913_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[17] ),
+    .Y(_0328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0955_ (.A(_0329_),
-    .B(_0327_),
+ sky130_fd_sc_hd__nor2_1 _0914_ (.A(_0328_),
+    .B(_0326_),
     .Y(net594),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0956_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[18] ),
-    .Y(_0330_),
+ sky130_fd_sc_hd__clkinv_16 _0915_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[18] ),
+    .Y(_0329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0957_ (.A(_0330_),
-    .B(_0327_),
+ sky130_fd_sc_hd__nor2_1 _0916_ (.A(_0329_),
+    .B(_0326_),
     .Y(net595),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0958_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[19] ),
-    .Y(_0331_),
+ sky130_fd_sc_hd__clkinv_16 _0917_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[19] ),
+    .Y(_0330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0959_ (.A(_0314_),
-    .X(_0332_),
+ sky130_fd_sc_hd__clkbuf_1 _0918_ (.A(_0325_),
+    .X(_0331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0960_ (.A(_0331_),
-    .B(_0332_),
+ sky130_fd_sc_hd__nor2_1 _0919_ (.A(_0330_),
+    .B(_0331_),
     .Y(net596),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0961_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[20] ),
-    .Y(_0333_),
+ sky130_fd_sc_hd__clkinv_16 _0920_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[20] ),
+    .Y(_0332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0962_ (.A(_0333_),
-    .B(_0332_),
+ sky130_fd_sc_hd__nor2_1 _0921_ (.A(_0332_),
+    .B(_0331_),
     .Y(net598),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0963_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[21] ),
-    .Y(_0334_),
+ sky130_fd_sc_hd__clkinv_16 _0922_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[21] ),
+    .Y(_0333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0964_ (.A(_0334_),
-    .B(_0332_),
+ sky130_fd_sc_hd__nor2_1 _0923_ (.A(_0333_),
+    .B(_0331_),
     .Y(net599),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0965_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[22] ),
-    .Y(_0335_),
+ sky130_fd_sc_hd__clkinv_16 _0924_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[22] ),
+    .Y(_0334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0966_ (.A(_0335_),
-    .B(_0332_),
+ sky130_fd_sc_hd__nor2_1 _0925_ (.A(_0334_),
+    .B(_0331_),
     .Y(net600),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0967_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[23] ),
-    .Y(_0336_),
+ sky130_fd_sc_hd__clkinv_16 _0926_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[23] ),
+    .Y(_0335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0968_ (.A(_0313_),
-    .X(_0337_),
+ sky130_fd_sc_hd__clkbuf_1 _0927_ (.A(_0325_),
+    .X(_0336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0969_ (.A(_0337_),
-    .X(_0338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0970_ (.A(_0336_),
-    .B(_0338_),
+ sky130_fd_sc_hd__nor2_1 _0928_ (.A(_0335_),
+    .B(_0336_),
     .Y(net601),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0971_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[24] ),
-    .Y(_0339_),
+ sky130_fd_sc_hd__clkinv_16 _0929_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[24] ),
+    .Y(_0337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0972_ (.A(_0339_),
-    .B(_0338_),
+ sky130_fd_sc_hd__nor2_1 _0930_ (.A(_0337_),
+    .B(_0336_),
     .Y(net602),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0973_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[25] ),
-    .Y(_0340_),
+ sky130_fd_sc_hd__clkinv_16 _0931_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[25] ),
+    .Y(_0338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0974_ (.A(_0340_),
-    .B(_0338_),
+ sky130_fd_sc_hd__nor2_1 _0932_ (.A(_0338_),
+    .B(_0336_),
     .Y(net603),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0975_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[26] ),
-    .Y(_0341_),
+ sky130_fd_sc_hd__clkinv_16 _0933_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[26] ),
+    .Y(_0339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0976_ (.A(_0341_),
-    .B(_0338_),
+ sky130_fd_sc_hd__nor2_1 _0934_ (.A(_0339_),
+    .B(_0336_),
     .Y(net604),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0977_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[27] ),
-    .Y(_0342_),
+ sky130_fd_sc_hd__clkinv_16 _0935_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[27] ),
+    .Y(_0340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0978_ (.A(_0337_),
-    .X(_0343_),
+ sky130_fd_sc_hd__clkbuf_1 _0936_ (.A(_0324_),
+    .X(_0341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0979_ (.A(_0342_),
-    .B(_0343_),
+ sky130_fd_sc_hd__clkbuf_1 _0937_ (.A(_0341_),
+    .X(_0342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _0938_ (.A(_0340_),
+    .B(_0342_),
     .Y(net605),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0980_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[28] ),
-    .Y(_0344_),
+ sky130_fd_sc_hd__clkinv_16 _0939_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[28] ),
+    .Y(_0343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0981_ (.A(_0344_),
-    .B(_0343_),
+ sky130_fd_sc_hd__nor2_1 _0940_ (.A(_0343_),
+    .B(_0342_),
     .Y(net606),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0982_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[29] ),
-    .Y(_0345_),
+ sky130_fd_sc_hd__clkinv_16 _0941_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[29] ),
+    .Y(_0344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0983_ (.A(_0345_),
-    .B(_0343_),
+ sky130_fd_sc_hd__nor2_1 _0942_ (.A(_0344_),
+    .B(_0342_),
     .Y(net607),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _0984_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[30] ),
-    .Y(_0346_),
+ sky130_fd_sc_hd__clkinv_16 _0943_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[30] ),
+    .Y(_0345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0985_ (.A(_0346_),
-    .B(_0343_),
+ sky130_fd_sc_hd__nor2_1 _0944_ (.A(_0345_),
+    .B(_0342_),
     .Y(net609),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0986_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[31] ),
-    .Y(_0347_),
+ sky130_fd_sc_hd__clkinv_16 _0945_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[31] ),
+    .Y(_0346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0987_ (.A(_0337_),
-    .X(_0348_),
+ sky130_fd_sc_hd__buf_2 _0946_ (.A(_0341_),
+    .X(_0347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0988_ (.A(_0347_),
-    .B(_0348_),
+ sky130_fd_sc_hd__nor2_2 _0947_ (.A(_0346_),
+    .B(_0347_),
     .Y(net610),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0989_ (.A(\u_m_wb_stage.m_wbd_stb_i_ff ),
-    .Y(_0349_),
+ sky130_fd_sc_hd__clkinv_16 _0948_ (.A(\u_m_wb_stage.m_wbd_stb_i_ff ),
+    .Y(_0348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _0990_ (.A(_0311_),
-    .B(_0316_),
-    .X(_0350_),
+ sky130_fd_sc_hd__clkbuf_4 _0949_ (.A(_0310_),
+    .X(_0349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _0991_ (.A(_0350_),
-    .X(_0351_),
+ sky130_fd_sc_hd__nor2_4 _0950_ (.A(_0348_),
+    .B(_0349_),
+    .Y(net667),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 _0992_ (.A(_0351_),
-    .X(_0352_),
+ sky130_fd_sc_hd__clkinv_16 _0951_ (.A(\u_m_wb_stage.m_wbd_cyc_i_ff ),
+    .Y(_0350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _0993_ (.A(_0352_),
-    .X(_0353_),
+ sky130_fd_sc_hd__nor2_4 _0952_ (.A(_0350_),
+    .B(_0349_),
+    .Y(net630),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _0994_ (.A(_0353_),
+ sky130_fd_sc_hd__clkinv_16 _0953_ (.A(\u_m_wb_stage.m_wbd_we_i_ff ),
+    .Y(_0351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _0954_ (.A(_0351_),
+    .B(_0349_),
+    .Y(net668),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_16 _0955_ (.A(\u_m_wb_stage.m_wbd_sel_i_ff[0] ),
+    .Y(_0352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _0956_ (.A(_0352_),
+    .B(_0349_),
+    .Y(net663),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_16 _0957_ (.A(\u_m_wb_stage.m_wbd_sel_i_ff[1] ),
+    .Y(_0353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_4 _0958_ (.A(_0310_),
     .X(_0354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0995_ (.A(_0349_),
+ sky130_fd_sc_hd__nor2_4 _0959_ (.A(_0353_),
     .B(_0354_),
     .Y(net664),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0996_ (.A(\u_m_wb_stage.m_wbd_cyc_i_ff ),
+ sky130_fd_sc_hd__clkinv_16 _0960_ (.A(\u_m_wb_stage.m_wbd_sel_i_ff[2] ),
     .Y(_0355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0997_ (.A(_0355_),
-    .B(_0354_),
-    .Y(net630),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _0998_ (.A(\u_m_wb_stage.m_wbd_we_i_ff ),
-    .Y(_0356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _0999_ (.A(_0356_),
+ sky130_fd_sc_hd__nor2_4 _0961_ (.A(_0355_),
     .B(_0354_),
     .Y(net665),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1000_ (.A(\u_m_wb_stage.m_wbd_sel_i_ff[0] ),
+ sky130_fd_sc_hd__clkinv_16 _0962_ (.A(\u_m_wb_stage.m_wbd_sel_i_ff[3] ),
+    .Y(_0356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _0963_ (.A(_0356_),
+    .B(_0354_),
+    .Y(net666),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_16 _0964_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[2] ),
     .Y(_0357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1001_ (.A(_0357_),
+ sky130_fd_sc_hd__nor2_4 _0965_ (.A(_0357_),
     .B(_0354_),
-    .Y(net663),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1002_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[2] ),
-    .Y(_0358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1003_ (.A(_0353_),
-    .X(_0359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1004_ (.A(_0358_),
-    .B(_0359_),
     .Y(net624),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1005_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[3] ),
-    .Y(_0360_),
+ sky130_fd_sc_hd__clkinv_16 _0966_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[3] ),
+    .Y(_0358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1006_ (.A(_0360_),
-    .B(_0359_),
+ sky130_fd_sc_hd__clkbuf_2 _0967_ (.A(_0309_),
+    .X(_0359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _0968_ (.A(_0359_),
+    .X(_0360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _0969_ (.A(_0358_),
+    .B(_0360_),
     .Y(net625),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1007_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[4] ),
+ sky130_fd_sc_hd__clkinv_16 _0970_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[4] ),
     .Y(_0361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1008_ (.A(_0361_),
-    .B(_0359_),
+ sky130_fd_sc_hd__nor2_1 _0971_ (.A(_0361_),
+    .B(_0360_),
     .Y(net626),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1009_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[5] ),
+ sky130_fd_sc_hd__clkinv_16 _0972_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[5] ),
     .Y(_0362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1010_ (.A(_0362_),
-    .B(_0359_),
+ sky130_fd_sc_hd__nor2_1 _0973_ (.A(_0362_),
+    .B(_0360_),
     .Y(net627),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1011_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[6] ),
+ sky130_fd_sc_hd__clkinv_16 _0974_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[6] ),
     .Y(_0363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1012_ (.A(_0352_),
-    .X(_0364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1013_ (.A(_0364_),
-    .X(_0365_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1014_ (.A(_0363_),
-    .B(_0365_),
+ sky130_fd_sc_hd__nor2_1 _0975_ (.A(_0363_),
+    .B(_0360_),
     .Y(net628),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1015_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[7] ),
-    .Y(_0366_),
+ sky130_fd_sc_hd__clkinv_16 _0976_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[7] ),
+    .Y(_0364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1016_ (.A(_0366_),
+ sky130_fd_sc_hd__buf_2 _0977_ (.A(_0359_),
+    .X(_0365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _0978_ (.A(_0364_),
     .B(_0365_),
     .Y(net629),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1017_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[0] ),
-    .Y(_0367_),
+ sky130_fd_sc_hd__clkinv_16 _0979_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[0] ),
+    .Y(_0366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1018_ (.A(_0367_),
+ sky130_fd_sc_hd__nor2_2 _0980_ (.A(_0366_),
     .B(_0365_),
     .Y(net631),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1019_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[1] ),
-    .Y(_0368_),
+ sky130_fd_sc_hd__clkinv_16 _0981_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[1] ),
+    .Y(_0367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1020_ (.A(_0368_),
+ sky130_fd_sc_hd__nor2_2 _0982_ (.A(_0367_),
     .B(_0365_),
     .Y(net642),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1021_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[2] ),
-    .Y(_0369_),
+ sky130_fd_sc_hd__clkinv_16 _0983_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[2] ),
+    .Y(_0368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1022_ (.A(_0364_),
-    .X(_0370_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1023_ (.A(_0369_),
-    .B(_0370_),
+ sky130_fd_sc_hd__nor2_2 _0984_ (.A(_0368_),
+    .B(_0365_),
     .Y(net653),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1024_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[3] ),
-    .Y(_0371_),
+ sky130_fd_sc_hd__clkinv_16 _0985_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[3] ),
+    .Y(_0369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1025_ (.A(_0371_),
+ sky130_fd_sc_hd__clkbuf_1 _0986_ (.A(_0359_),
+    .X(_0370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _0987_ (.A(_0369_),
     .B(_0370_),
     .Y(net656),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1026_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[4] ),
-    .Y(_0372_),
+ sky130_fd_sc_hd__clkinv_16 _0988_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[4] ),
+    .Y(_0371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1027_ (.A(_0372_),
+ sky130_fd_sc_hd__nor2_1 _0989_ (.A(_0371_),
     .B(_0370_),
     .Y(net657),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1028_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[5] ),
-    .Y(_0373_),
+ sky130_fd_sc_hd__clkinv_16 _0990_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[5] ),
+    .Y(_0372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1029_ (.A(_0373_),
+ sky130_fd_sc_hd__nor2_1 _0991_ (.A(_0372_),
     .B(_0370_),
     .Y(net658),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1030_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[6] ),
-    .Y(_0374_),
+ sky130_fd_sc_hd__clkinv_16 _0992_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[6] ),
+    .Y(_0373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1031_ (.A(_0364_),
-    .X(_0375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1032_ (.A(_0374_),
-    .B(_0375_),
+ sky130_fd_sc_hd__nor2_1 _0993_ (.A(_0373_),
+    .B(_0370_),
     .Y(net659),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1033_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[7] ),
-    .Y(_0376_),
+ sky130_fd_sc_hd__clkinv_16 _0994_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[7] ),
+    .Y(_0374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1034_ (.A(_0376_),
+ sky130_fd_sc_hd__clkbuf_1 _0995_ (.A(_0359_),
+    .X(_0375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _0996_ (.A(_0374_),
     .B(_0375_),
     .Y(net660),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1035_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[8] ),
-    .Y(_0377_),
+ sky130_fd_sc_hd__clkinv_16 _0997_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[8] ),
+    .Y(_0376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1036_ (.A(_0377_),
+ sky130_fd_sc_hd__nor2_1 _0998_ (.A(_0376_),
     .B(_0375_),
     .Y(net661),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1037_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[9] ),
-    .Y(_0378_),
+ sky130_fd_sc_hd__clkinv_16 _0999_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[9] ),
+    .Y(_0377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1038_ (.A(_0378_),
+ sky130_fd_sc_hd__nor2_1 _1000_ (.A(_0377_),
     .B(_0375_),
     .Y(net662),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1039_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[10] ),
-    .Y(_0379_),
+ sky130_fd_sc_hd__clkinv_16 _1001_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[10] ),
+    .Y(_0378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1040_ (.A(_0364_),
-    .X(_0380_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1041_ (.A(_0379_),
-    .B(_0380_),
+ sky130_fd_sc_hd__nor2_1 _1002_ (.A(_0378_),
+    .B(_0375_),
     .Y(net632),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1042_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[11] ),
-    .Y(_0381_),
+ sky130_fd_sc_hd__clkinv_16 _1003_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[11] ),
+    .Y(_0379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1043_ (.A(_0381_),
-    .B(_0380_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1004_ (.A(_0309_),
+    .X(_0380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _1005_ (.A(_0380_),
+    .X(_0381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1006_ (.A(_0379_),
+    .B(_0381_),
     .Y(net633),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1044_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[12] ),
+ sky130_fd_sc_hd__clkinv_16 _1007_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[12] ),
     .Y(_0382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1045_ (.A(_0382_),
-    .B(_0380_),
+ sky130_fd_sc_hd__nor2_1 _1008_ (.A(_0382_),
+    .B(_0381_),
     .Y(net634),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1046_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[13] ),
+ sky130_fd_sc_hd__clkinv_16 _1009_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[13] ),
     .Y(_0383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1047_ (.A(_0383_),
-    .B(_0380_),
+ sky130_fd_sc_hd__nor2_1 _1010_ (.A(_0383_),
+    .B(_0381_),
     .Y(net635),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_16 _1048_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[14] ),
+ sky130_fd_sc_hd__clkinv_16 _1011_ (.A(\u_m_wb_stage.m_wbd_dat_i_ff[14] ),
     .Y(_0384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1049_ (.A(_0352_),
-    .X(_0385_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1050_ (.A(_0385_),
-    .X(_0386_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1051_ (.A(_0384_),
-    .B(_0386_),
+ sky130_fd_sc_hd__nor2_1 _1012_ (.A(_0384_),
+    .B(_0381_),
     .Y(net636),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1052_ (.A(_0326_),
-    .B(_0386_),
+ sky130_fd_sc_hd__clkbuf_1 _1013_ (.A(_0380_),
+    .X(_0385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1014_ (.A(_0321_),
+    .B(_0385_),
     .Y(net637),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1053_ (.A(_0328_),
-    .B(_0386_),
+ sky130_fd_sc_hd__nor2_1 _1015_ (.A(_0327_),
+    .B(_0385_),
     .Y(net638),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1054_ (.A(_0329_),
-    .B(_0386_),
+ sky130_fd_sc_hd__nor2_1 _1016_ (.A(_0328_),
+    .B(_0385_),
     .Y(net639),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1055_ (.A(_0385_),
-    .X(_0387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1056_ (.A(_0330_),
-    .B(_0387_),
+ sky130_fd_sc_hd__nor2_1 _1017_ (.A(_0329_),
+    .B(_0385_),
     .Y(net640),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1057_ (.A(_0331_),
-    .B(_0387_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1018_ (.A(_0380_),
+    .X(_0386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1019_ (.A(_0330_),
+    .B(_0386_),
     .Y(net641),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1058_ (.A(_0333_),
-    .B(_0387_),
+ sky130_fd_sc_hd__nor2_1 _1020_ (.A(_0332_),
+    .B(_0386_),
     .Y(net643),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1059_ (.A(_0334_),
-    .B(_0387_),
+ sky130_fd_sc_hd__nor2_1 _1021_ (.A(_0333_),
+    .B(_0386_),
     .Y(net644),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1060_ (.A(_0385_),
-    .X(_0388_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1061_ (.A(_0335_),
-    .B(_0388_),
+ sky130_fd_sc_hd__nor2_1 _1022_ (.A(_0334_),
+    .B(_0386_),
     .Y(net645),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1062_ (.A(_0336_),
-    .B(_0388_),
+ sky130_fd_sc_hd__clkbuf_1 _1023_ (.A(_0380_),
+    .X(_0387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1024_ (.A(_0335_),
+    .B(_0387_),
     .Y(net646),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1063_ (.A(_0339_),
-    .B(_0388_),
+ sky130_fd_sc_hd__nor2_1 _1025_ (.A(_0337_),
+    .B(_0387_),
     .Y(net647),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1064_ (.A(_0340_),
-    .B(_0388_),
+ sky130_fd_sc_hd__nor2_1 _1026_ (.A(_0338_),
+    .B(_0387_),
     .Y(net648),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1065_ (.A(_0385_),
-    .X(_0389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1066_ (.A(_0341_),
-    .B(_0389_),
+ sky130_fd_sc_hd__nor2_1 _1027_ (.A(_0339_),
+    .B(_0387_),
     .Y(net649),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1067_ (.A(_0342_),
+ sky130_fd_sc_hd__buf_2 _1028_ (.A(_0308_),
+    .X(_0388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 _1029_ (.A(_0388_),
+    .X(_0389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _1030_ (.A(_0340_),
     .B(_0389_),
     .Y(net650),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1068_ (.A(_0344_),
+ sky130_fd_sc_hd__nor2_4 _1031_ (.A(_0343_),
     .B(_0389_),
     .Y(net651),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1069_ (.A(_0345_),
+ sky130_fd_sc_hd__nor2_4 _1032_ (.A(_0344_),
     .B(_0389_),
     .Y(net652),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1070_ (.A(_0346_),
-    .B(_0353_),
+ sky130_fd_sc_hd__nor2_4 _1033_ (.A(_0345_),
+    .B(_0389_),
     .Y(net654),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1071_ (.A(_0347_),
-    .B(_0353_),
-    .Y(net655),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1072_ (.A(_0282_),
+ sky130_fd_sc_hd__buf_4 _1034_ (.A(_0309_),
     .X(_0390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1073_ (.A(_0390_),
+ sky130_fd_sc_hd__nor2_8 _1035_ (.A(_0346_),
+    .B(_0390_),
+    .Y(net655),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1036_ (.A(_0318_),
     .X(_0391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1074_ (.A(\u_m_wb_stage.m_wbd_dat_o[0] ),
+ sky130_fd_sc_hd__clkinv_16 _1037_ (.A(\u_m_wb_stage.m_wbd_dat_o[0] ),
     .Y(_0392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1075_ (.A(_0391_),
+ sky130_fd_sc_hd__nor2_1 _1038_ (.A(_0391_),
     .B(_0392_),
     .Y(net347),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1076_ (.A(\u_m_wb_stage.m_wbd_dat_o[1] ),
+ sky130_fd_sc_hd__clkinv_16 _1039_ (.A(\u_m_wb_stage.m_wbd_dat_o[1] ),
     .Y(_0393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1077_ (.A(_0391_),
+ sky130_fd_sc_hd__nor2_1 _1040_ (.A(_0391_),
     .B(_0393_),
     .Y(net358),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1078_ (.A(\u_m_wb_stage.m_wbd_dat_o[2] ),
+ sky130_fd_sc_hd__clkinv_16 _1041_ (.A(\u_m_wb_stage.m_wbd_dat_o[2] ),
     .Y(_0394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1079_ (.A(_0391_),
+ sky130_fd_sc_hd__nor2_1 _1042_ (.A(_0391_),
     .B(_0394_),
     .Y(net369),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1080_ (.A(\u_m_wb_stage.m_wbd_dat_o[3] ),
+ sky130_fd_sc_hd__clkinv_16 _1043_ (.A(\u_m_wb_stage.m_wbd_dat_o[3] ),
     .Y(_0395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1081_ (.A(_0391_),
+ sky130_fd_sc_hd__nor2_1 _1044_ (.A(_0391_),
     .B(_0395_),
     .Y(net372),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1082_ (.A(_0390_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1045_ (.A(_0318_),
     .X(_0396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1083_ (.A(\u_m_wb_stage.m_wbd_dat_o[4] ),
+ sky130_fd_sc_hd__inv_12 _1046_ (.A(\u_m_wb_stage.m_wbd_dat_o[4] ),
     .Y(_0397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1084_ (.A(_0396_),
+ sky130_fd_sc_hd__nor2_1 _1047_ (.A(_0396_),
     .B(_0397_),
     .Y(net373),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1085_ (.A(\u_m_wb_stage.m_wbd_dat_o[5] ),
+ sky130_fd_sc_hd__inv_12 _1048_ (.A(\u_m_wb_stage.m_wbd_dat_o[5] ),
     .Y(_0398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1086_ (.A(_0396_),
+ sky130_fd_sc_hd__nor2_1 _1049_ (.A(_0396_),
     .B(_0398_),
     .Y(net374),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1087_ (.A(\u_m_wb_stage.m_wbd_dat_o[6] ),
+ sky130_fd_sc_hd__inv_12 _1050_ (.A(\u_m_wb_stage.m_wbd_dat_o[6] ),
     .Y(_0399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1088_ (.A(_0396_),
+ sky130_fd_sc_hd__nor2_1 _1051_ (.A(_0396_),
     .B(_0399_),
     .Y(net375),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1089_ (.A(\u_m_wb_stage.m_wbd_dat_o[7] ),
+ sky130_fd_sc_hd__inv_12 _1052_ (.A(\u_m_wb_stage.m_wbd_dat_o[7] ),
     .Y(_0400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1090_ (.A(_0396_),
+ sky130_fd_sc_hd__nor2_1 _1053_ (.A(_0396_),
     .B(_0400_),
     .Y(net376),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1091_ (.A(_0390_),
+ sky130_fd_sc_hd__clkbuf_1 _1054_ (.A(_0318_),
     .X(_0401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1092_ (.A(\u_m_wb_stage.m_wbd_dat_o[8] ),
+ sky130_fd_sc_hd__inv_12 _1055_ (.A(\u_m_wb_stage.m_wbd_dat_o[8] ),
     .Y(_0402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1093_ (.A(_0401_),
+ sky130_fd_sc_hd__nor2_1 _1056_ (.A(_0401_),
     .B(_0402_),
     .Y(net377),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1094_ (.A(\u_m_wb_stage.m_wbd_dat_o[9] ),
+ sky130_fd_sc_hd__inv_12 _1057_ (.A(\u_m_wb_stage.m_wbd_dat_o[9] ),
     .Y(_0403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1095_ (.A(_0401_),
+ sky130_fd_sc_hd__nor2_1 _1058_ (.A(_0401_),
     .B(_0403_),
     .Y(net378),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1096_ (.A(\u_m_wb_stage.m_wbd_dat_o[10] ),
+ sky130_fd_sc_hd__inv_12 _1059_ (.A(\u_m_wb_stage.m_wbd_dat_o[10] ),
     .Y(_0404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1097_ (.A(_0401_),
+ sky130_fd_sc_hd__nor2_1 _1060_ (.A(_0401_),
     .B(_0404_),
     .Y(net348),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1098_ (.A(\u_m_wb_stage.m_wbd_dat_o[11] ),
+ sky130_fd_sc_hd__inv_12 _1061_ (.A(\u_m_wb_stage.m_wbd_dat_o[11] ),
     .Y(_0405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1099_ (.A(_0401_),
+ sky130_fd_sc_hd__nor2_1 _1062_ (.A(_0401_),
     .B(_0405_),
     .Y(net349),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1100_ (.A(_0390_),
+ sky130_fd_sc_hd__clkbuf_1 _1063_ (.A(_0284_),
     .X(_0406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_12 _1101_ (.A(\u_m_wb_stage.m_wbd_dat_o[12] ),
-    .Y(_0407_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1064_ (.A(_0406_),
+    .X(_0407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1102_ (.A(_0406_),
-    .B(_0407_),
-    .Y(net350),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1103_ (.A(\u_m_wb_stage.m_wbd_dat_o[13] ),
+ sky130_fd_sc_hd__inv_12 _1065_ (.A(\u_m_wb_stage.m_wbd_dat_o[12] ),
     .Y(_0408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1104_ (.A(_0406_),
+ sky130_fd_sc_hd__nor2_1 _1066_ (.A(_0407_),
     .B(_0408_),
-    .Y(net351),
+    .Y(net350),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1105_ (.A(\u_m_wb_stage.m_wbd_dat_o[14] ),
+ sky130_fd_sc_hd__inv_12 _1067_ (.A(\u_m_wb_stage.m_wbd_dat_o[13] ),
     .Y(_0409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1106_ (.A(_0406_),
+ sky130_fd_sc_hd__nor2_1 _1068_ (.A(_0407_),
     .B(_0409_),
-    .Y(net352),
+    .Y(net351),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_12 _1107_ (.A(\u_m_wb_stage.m_wbd_dat_o[15] ),
+ sky130_fd_sc_hd__inv_12 _1069_ (.A(\u_m_wb_stage.m_wbd_dat_o[14] ),
     .Y(_0410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1108_ (.A(_0406_),
+ sky130_fd_sc_hd__nor2_1 _1070_ (.A(_0407_),
     .B(_0410_),
+    .Y(net352),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_12 _1071_ (.A(\u_m_wb_stage.m_wbd_dat_o[15] ),
+    .Y(_0411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1072_ (.A(_0407_),
+    .B(_0411_),
     .Y(net353),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1109_ (.A(_0282_),
-    .X(_0411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1110_ (.A(_0411_),
+ sky130_fd_sc_hd__clkbuf_1 _1073_ (.A(_0406_),
     .X(_0412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1111_ (.A(\u_m_wb_stage.m_wbd_dat_o[16] ),
+ sky130_fd_sc_hd__inv_12 _1074_ (.A(\u_m_wb_stage.m_wbd_dat_o[16] ),
     .Y(_0413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1112_ (.A(_0412_),
+ sky130_fd_sc_hd__nor2_1 _1075_ (.A(_0412_),
     .B(_0413_),
     .Y(net354),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1113_ (.A(\u_m_wb_stage.m_wbd_dat_o[17] ),
+ sky130_fd_sc_hd__inv_12 _1076_ (.A(\u_m_wb_stage.m_wbd_dat_o[17] ),
     .Y(_0414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1114_ (.A(_0412_),
+ sky130_fd_sc_hd__nor2_1 _1077_ (.A(_0412_),
     .B(_0414_),
     .Y(net355),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1115_ (.A(\u_m_wb_stage.m_wbd_dat_o[18] ),
+ sky130_fd_sc_hd__inv_8 _1078_ (.A(\u_m_wb_stage.m_wbd_dat_o[18] ),
     .Y(_0415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1116_ (.A(_0412_),
+ sky130_fd_sc_hd__nor2_1 _1079_ (.A(_0412_),
     .B(_0415_),
     .Y(net356),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1117_ (.A(\u_m_wb_stage.m_wbd_dat_o[19] ),
+ sky130_fd_sc_hd__inv_8 _1080_ (.A(\u_m_wb_stage.m_wbd_dat_o[19] ),
     .Y(_0416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1118_ (.A(_0412_),
+ sky130_fd_sc_hd__nor2_1 _1081_ (.A(_0412_),
     .B(_0416_),
     .Y(net357),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1119_ (.A(_0411_),
+ sky130_fd_sc_hd__clkbuf_1 _1082_ (.A(_0406_),
     .X(_0417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1120_ (.A(\u_m_wb_stage.m_wbd_dat_o[20] ),
+ sky130_fd_sc_hd__inv_8 _1083_ (.A(\u_m_wb_stage.m_wbd_dat_o[20] ),
     .Y(_0418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1121_ (.A(_0417_),
+ sky130_fd_sc_hd__nor2_1 _1084_ (.A(_0417_),
     .B(_0418_),
     .Y(net359),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1122_ (.A(\u_m_wb_stage.m_wbd_dat_o[21] ),
+ sky130_fd_sc_hd__inv_8 _1085_ (.A(\u_m_wb_stage.m_wbd_dat_o[21] ),
     .Y(_0419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1123_ (.A(_0417_),
+ sky130_fd_sc_hd__nor2_1 _1086_ (.A(_0417_),
     .B(_0419_),
     .Y(net360),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1124_ (.A(\u_m_wb_stage.m_wbd_dat_o[22] ),
+ sky130_fd_sc_hd__inv_8 _1087_ (.A(\u_m_wb_stage.m_wbd_dat_o[22] ),
     .Y(_0420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1125_ (.A(_0417_),
+ sky130_fd_sc_hd__nor2_1 _1088_ (.A(_0417_),
     .B(_0420_),
     .Y(net361),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1126_ (.A(\u_m_wb_stage.m_wbd_dat_o[23] ),
+ sky130_fd_sc_hd__inv_8 _1089_ (.A(\u_m_wb_stage.m_wbd_dat_o[23] ),
     .Y(_0421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1127_ (.A(_0417_),
+ sky130_fd_sc_hd__nor2_1 _1090_ (.A(_0417_),
     .B(_0421_),
     .Y(net362),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1128_ (.A(_0411_),
+ sky130_fd_sc_hd__clkbuf_1 _1091_ (.A(_0406_),
     .X(_0422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_8 _1129_ (.A(\u_m_wb_stage.m_wbd_dat_o[24] ),
+ sky130_fd_sc_hd__inv_8 _1092_ (.A(\u_m_wb_stage.m_wbd_dat_o[24] ),
     .Y(_0423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1130_ (.A(_0422_),
+ sky130_fd_sc_hd__nor2_1 _1093_ (.A(_0422_),
     .B(_0423_),
     .Y(net363),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_8 _1131_ (.A(\u_m_wb_stage.m_wbd_dat_o[25] ),
+ sky130_fd_sc_hd__inv_8 _1094_ (.A(\u_m_wb_stage.m_wbd_dat_o[25] ),
     .Y(_0424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1132_ (.A(_0422_),
+ sky130_fd_sc_hd__nor2_1 _1095_ (.A(_0422_),
     .B(_0424_),
     .Y(net364),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_8 _1133_ (.A(\u_m_wb_stage.m_wbd_dat_o[26] ),
+ sky130_fd_sc_hd__inv_8 _1096_ (.A(\u_m_wb_stage.m_wbd_dat_o[26] ),
     .Y(_0425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1134_ (.A(_0422_),
+ sky130_fd_sc_hd__nor2_1 _1097_ (.A(_0422_),
     .B(_0425_),
     .Y(net365),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_8 _1135_ (.A(\u_m_wb_stage.m_wbd_dat_o[27] ),
+ sky130_fd_sc_hd__inv_8 _1098_ (.A(\u_m_wb_stage.m_wbd_dat_o[27] ),
     .Y(_0426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1136_ (.A(_0422_),
+ sky130_fd_sc_hd__nor2_1 _1099_ (.A(_0422_),
     .B(_0426_),
     .Y(net366),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1137_ (.A(_0411_),
+ sky130_fd_sc_hd__clkbuf_1 _1100_ (.A(_0284_),
     .X(_0427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_8 _1138_ (.A(\u_m_wb_stage.m_wbd_dat_o[28] ),
+ sky130_fd_sc_hd__inv_8 _1101_ (.A(\u_m_wb_stage.m_wbd_dat_o[28] ),
     .Y(_0428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1139_ (.A(_0427_),
+ sky130_fd_sc_hd__nor2_1 _1102_ (.A(_0427_),
     .B(_0428_),
     .Y(net367),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_8 _1140_ (.A(\u_m_wb_stage.m_wbd_dat_o[29] ),
+ sky130_fd_sc_hd__inv_8 _1103_ (.A(\u_m_wb_stage.m_wbd_dat_o[29] ),
     .Y(_0429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1141_ (.A(_0427_),
+ sky130_fd_sc_hd__nor2_1 _1104_ (.A(_0427_),
     .B(_0429_),
     .Y(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_8 _1142_ (.A(\u_m_wb_stage.m_wbd_dat_o[30] ),
+ sky130_fd_sc_hd__inv_12 _1105_ (.A(\u_m_wb_stage.m_wbd_dat_o[30] ),
     .Y(_0430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1143_ (.A(_0427_),
+ sky130_fd_sc_hd__nor2_1 _1106_ (.A(_0427_),
     .B(_0430_),
     .Y(net370),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_8 _1144_ (.A(\u_m_wb_stage.m_wbd_dat_o[31] ),
+ sky130_fd_sc_hd__inv_8 _1107_ (.A(\u_m_wb_stage.m_wbd_dat_o[31] ),
     .Y(_0431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1145_ (.A(_0427_),
+ sky130_fd_sc_hd__nor2_1 _1108_ (.A(_0427_),
     .B(_0431_),
     .Y(net371),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 _1146_ (.A(_0307_),
+ sky130_fd_sc_hd__clkbuf_4 _1109_ (.A(_0298_),
     .X(_0432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1147_ (.A(_0432_),
+ sky130_fd_sc_hd__nor2_4 _1110_ (.A(_0432_),
     .B(_0392_),
     .Y(net380),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1148_ (.A(_0432_),
+ sky130_fd_sc_hd__nor2_4 _1111_ (.A(_0432_),
     .B(_0393_),
     .Y(net391),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1149_ (.A(_0432_),
+ sky130_fd_sc_hd__nor2_4 _1112_ (.A(_0432_),
     .B(_0394_),
     .Y(net402),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1150_ (.A(_0432_),
+ sky130_fd_sc_hd__nor2_4 _1113_ (.A(_0432_),
     .B(_0395_),
     .Y(net405),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1151_ (.A(_0307_),
+ sky130_fd_sc_hd__buf_4 _1114_ (.A(_0283_),
     .X(_0433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1152_ (.A(_0433_),
+ sky130_fd_sc_hd__buf_6 _1115_ (.A(_0433_),
+    .X(_0434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_8 _1116_ (.A(_0434_),
     .B(_0397_),
     .Y(net406),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1153_ (.A(_0433_),
+ sky130_fd_sc_hd__nor2_8 _1117_ (.A(_0434_),
     .B(_0398_),
     .Y(net407),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1154_ (.A(_0433_),
+ sky130_fd_sc_hd__nor2_8 _1118_ (.A(_0434_),
     .B(_0399_),
     .Y(net408),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1155_ (.A(_0433_),
+ sky130_fd_sc_hd__nor2_8 _1119_ (.A(_0434_),
     .B(_0400_),
     .Y(net409),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1156_ (.A(_0298_),
-    .X(_0434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1157_ (.A(_0434_),
+ sky130_fd_sc_hd__buf_6 _1120_ (.A(_0433_),
     .X(_0435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1158_ (.A(_0435_),
+ sky130_fd_sc_hd__nor2_8 _1121_ (.A(_0435_),
     .B(_0402_),
     .Y(net410),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1159_ (.A(_0435_),
+ sky130_fd_sc_hd__nor2_8 _1122_ (.A(_0435_),
     .B(_0403_),
     .Y(net411),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1160_ (.A(_0435_),
+ sky130_fd_sc_hd__nor2_8 _1123_ (.A(_0435_),
     .B(_0404_),
     .Y(net381),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1161_ (.A(_0435_),
+ sky130_fd_sc_hd__nor2_8 _1124_ (.A(_0435_),
     .B(_0405_),
     .Y(net382),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1162_ (.A(_0434_),
+ sky130_fd_sc_hd__buf_6 _1125_ (.A(_0433_),
     .X(_0436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1163_ (.A(_0436_),
-    .B(_0407_),
+ sky130_fd_sc_hd__nor2_8 _1126_ (.A(_0436_),
+    .B(_0408_),
     .Y(net383),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1164_ (.A(_0436_),
-    .B(_0408_),
+ sky130_fd_sc_hd__nor2_8 _1127_ (.A(_0436_),
+    .B(_0409_),
     .Y(net384),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1165_ (.A(_0436_),
-    .B(_0409_),
+ sky130_fd_sc_hd__nor2_8 _1128_ (.A(_0436_),
+    .B(_0410_),
     .Y(net385),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1166_ (.A(_0436_),
-    .B(_0410_),
+ sky130_fd_sc_hd__nor2_8 _1129_ (.A(_0436_),
+    .B(_0411_),
     .Y(net386),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1167_ (.A(_0434_),
+ sky130_fd_sc_hd__clkbuf_4 _1130_ (.A(_0433_),
     .X(_0437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1168_ (.A(_0437_),
+ sky130_fd_sc_hd__nor2_4 _1131_ (.A(_0437_),
     .B(_0413_),
     .Y(net387),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1169_ (.A(_0437_),
+ sky130_fd_sc_hd__nor2_4 _1132_ (.A(_0437_),
     .B(_0414_),
     .Y(net388),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1170_ (.A(_0437_),
+ sky130_fd_sc_hd__nor2_4 _1133_ (.A(_0437_),
     .B(_0415_),
     .Y(net389),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1171_ (.A(_0437_),
+ sky130_fd_sc_hd__nor2_4 _1134_ (.A(_0437_),
     .B(_0416_),
     .Y(net390),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1172_ (.A(_0434_),
+ sky130_fd_sc_hd__clkbuf_2 _1135_ (.A(_0283_),
     .X(_0438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1173_ (.A(_0438_),
+ sky130_fd_sc_hd__clkbuf_1 _1136_ (.A(_0438_),
+    .X(_0439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1137_ (.A(_0439_),
     .B(_0418_),
     .Y(net392),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1174_ (.A(_0438_),
+ sky130_fd_sc_hd__nor2_1 _1138_ (.A(_0439_),
     .B(_0419_),
     .Y(net393),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1175_ (.A(_0438_),
+ sky130_fd_sc_hd__nor2_1 _1139_ (.A(_0439_),
     .B(_0420_),
     .Y(net394),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1176_ (.A(_0438_),
+ sky130_fd_sc_hd__nor2_1 _1140_ (.A(_0439_),
     .B(_0421_),
     .Y(net395),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1177_ (.A(_0298_),
-    .X(_0439_),
+ sky130_fd_sc_hd__clkbuf_2 _1141_ (.A(_0438_),
+    .X(_0440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1178_ (.A(_0439_),
+ sky130_fd_sc_hd__nor2_2 _1142_ (.A(_0440_),
     .B(_0423_),
     .Y(net396),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1179_ (.A(_0439_),
+ sky130_fd_sc_hd__nor2_2 _1143_ (.A(_0440_),
     .B(_0424_),
     .Y(net397),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1180_ (.A(_0439_),
+ sky130_fd_sc_hd__nor2_2 _1144_ (.A(_0440_),
     .B(_0425_),
     .Y(net398),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1181_ (.A(_0439_),
+ sky130_fd_sc_hd__nor2_2 _1145_ (.A(_0440_),
     .B(_0426_),
     .Y(net399),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1182_ (.A(_0298_),
-    .X(_0440_),
+ sky130_fd_sc_hd__clkbuf_2 _1146_ (.A(_0438_),
+    .X(_0441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1183_ (.A(_0440_),
+ sky130_fd_sc_hd__nor2_2 _1147_ (.A(_0441_),
     .B(_0428_),
     .Y(net400),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1184_ (.A(_0440_),
+ sky130_fd_sc_hd__nor2_2 _1148_ (.A(_0441_),
     .B(_0429_),
     .Y(net401),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1185_ (.A(_0440_),
+ sky130_fd_sc_hd__nor2_2 _1149_ (.A(_0441_),
     .B(_0430_),
     .Y(net403),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1186_ (.A(_0440_),
+ sky130_fd_sc_hd__nor2_2 _1150_ (.A(_0441_),
     .B(_0431_),
     .Y(net404),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1187_ (.A(_0306_),
-    .X(_0441_),
+ sky130_fd_sc_hd__buf_6 _1151_ (.A(_0293_),
+    .X(_0442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1188_ (.A(_0441_),
+ sky130_fd_sc_hd__nor2_8 _1152_ (.A(_0442_),
     .B(_0392_),
     .Y(net413),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1189_ (.A(_0441_),
+ sky130_fd_sc_hd__nor2_8 _1153_ (.A(_0442_),
     .B(_0393_),
     .Y(net424),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1190_ (.A(_0441_),
+ sky130_fd_sc_hd__nor2_8 _1154_ (.A(_0442_),
     .B(_0394_),
     .Y(net435),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1191_ (.A(_0441_),
+ sky130_fd_sc_hd__nor2_8 _1155_ (.A(_0442_),
     .B(_0395_),
     .Y(net438),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1192_ (.A(_0306_),
-    .X(_0442_),
+ sky130_fd_sc_hd__buf_2 _1156_ (.A(_0282_),
+    .X(_0443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1193_ (.A(_0442_),
+ sky130_fd_sc_hd__clkbuf_1 _1157_ (.A(_0443_),
+    .X(_0444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1158_ (.A(_0444_),
+    .X(_0445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1159_ (.A(_0445_),
     .B(_0397_),
     .Y(net439),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1194_ (.A(_0442_),
+ sky130_fd_sc_hd__nor2_1 _1160_ (.A(_0445_),
     .B(_0398_),
     .Y(net440),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1195_ (.A(_0442_),
+ sky130_fd_sc_hd__nor2_1 _1161_ (.A(_0445_),
     .B(_0399_),
     .Y(net441),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1196_ (.A(_0442_),
+ sky130_fd_sc_hd__nor2_1 _1162_ (.A(_0445_),
     .B(_0400_),
     .Y(net442),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1197_ (.A(_0293_),
-    .X(_0443_),
+ sky130_fd_sc_hd__clkbuf_1 _1163_ (.A(_0444_),
+    .X(_0446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1198_ (.A(_0443_),
-    .X(_0444_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1199_ (.A(_0444_),
+ sky130_fd_sc_hd__nor2_1 _1164_ (.A(_0446_),
     .B(_0402_),
     .Y(net443),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1200_ (.A(_0444_),
+ sky130_fd_sc_hd__nor2_1 _1165_ (.A(_0446_),
     .B(_0403_),
     .Y(net444),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1201_ (.A(_0444_),
+ sky130_fd_sc_hd__nor2_1 _1166_ (.A(_0446_),
     .B(_0404_),
     .Y(net414),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1202_ (.A(_0444_),
+ sky130_fd_sc_hd__nor2_1 _1167_ (.A(_0446_),
     .B(_0405_),
     .Y(net415),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1203_ (.A(_0443_),
-    .X(_0445_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1168_ (.A(_0444_),
+    .X(_0447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1204_ (.A(_0445_),
-    .B(_0407_),
+ sky130_fd_sc_hd__nor2_1 _1169_ (.A(_0447_),
+    .B(_0408_),
     .Y(net416),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1205_ (.A(_0445_),
-    .B(_0408_),
+ sky130_fd_sc_hd__nor2_1 _1170_ (.A(_0447_),
+    .B(_0409_),
     .Y(net417),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1206_ (.A(_0445_),
-    .B(_0409_),
+ sky130_fd_sc_hd__nor2_1 _1171_ (.A(_0447_),
+    .B(_0410_),
     .Y(net418),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1207_ (.A(_0445_),
-    .B(_0410_),
+ sky130_fd_sc_hd__nor2_1 _1172_ (.A(_0447_),
+    .B(_0411_),
     .Y(net419),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1208_ (.A(_0443_),
-    .X(_0446_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1173_ (.A(_0444_),
+    .X(_0448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1209_ (.A(_0446_),
+ sky130_fd_sc_hd__nor2_1 _1174_ (.A(_0448_),
     .B(_0413_),
     .Y(net420),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1210_ (.A(_0446_),
+ sky130_fd_sc_hd__nor2_1 _1175_ (.A(_0448_),
     .B(_0414_),
     .Y(net421),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1211_ (.A(_0446_),
+ sky130_fd_sc_hd__nor2_1 _1176_ (.A(_0448_),
     .B(_0415_),
     .Y(net422),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1212_ (.A(_0446_),
+ sky130_fd_sc_hd__nor2_1 _1177_ (.A(_0448_),
     .B(_0416_),
     .Y(net423),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1213_ (.A(_0443_),
-    .X(_0447_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1178_ (.A(_0443_),
+    .X(_0449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1214_ (.A(_0447_),
+ sky130_fd_sc_hd__nor2_1 _1179_ (.A(_0449_),
     .B(_0418_),
     .Y(net425),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1215_ (.A(_0447_),
+ sky130_fd_sc_hd__nor2_1 _1180_ (.A(_0449_),
     .B(_0419_),
     .Y(net426),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1216_ (.A(_0447_),
+ sky130_fd_sc_hd__nor2_1 _1181_ (.A(_0449_),
     .B(_0420_),
     .Y(net427),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1217_ (.A(_0447_),
+ sky130_fd_sc_hd__nor2_1 _1182_ (.A(_0449_),
     .B(_0421_),
     .Y(net428),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1218_ (.A(_0293_),
-    .X(_0448_),
+ sky130_fd_sc_hd__clkbuf_2 _1183_ (.A(_0443_),
+    .X(_0450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1219_ (.A(_0448_),
+ sky130_fd_sc_hd__nor2_1 _1184_ (.A(_0450_),
     .B(_0423_),
     .Y(net429),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1220_ (.A(_0448_),
+ sky130_fd_sc_hd__nor2_1 _1185_ (.A(_0450_),
     .B(_0424_),
     .Y(net430),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1221_ (.A(_0448_),
+ sky130_fd_sc_hd__nor2_1 _1186_ (.A(_0450_),
     .B(_0425_),
     .Y(net431),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1222_ (.A(_0448_),
+ sky130_fd_sc_hd__nor2_1 _1187_ (.A(_0450_),
     .B(_0426_),
     .Y(net432),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1223_ (.A(_0293_),
-    .X(_0449_),
+ sky130_fd_sc_hd__clkbuf_2 _1188_ (.A(_0443_),
+    .X(_0451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1224_ (.A(_0449_),
+ sky130_fd_sc_hd__nor2_1 _1189_ (.A(_0451_),
     .B(_0428_),
     .Y(net433),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1225_ (.A(_0449_),
+ sky130_fd_sc_hd__nor2_1 _1190_ (.A(_0451_),
     .B(_0429_),
     .Y(net434),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1226_ (.A(_0449_),
+ sky130_fd_sc_hd__nor2_1 _1191_ (.A(_0451_),
     .B(_0430_),
     .Y(net436),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1227_ (.A(_0449_),
+ sky130_fd_sc_hd__nor2_1 _1192_ (.A(_0451_),
     .B(_0431_),
     .Y(net437),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_4 _1228_ (.A1(_0305_),
+ sky130_fd_sc_hd__a22o_4 _1193_ (.A1(\u_wb_arb.state[2] ),
     .A2(net105),
-    .B1(_0304_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net174),
     .X(_0003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_4 _1229_ (.A1(_0305_),
+ sky130_fd_sc_hd__a22o_4 _1194_ (.A1(\u_wb_arb.state[2] ),
     .A2(net116),
-    .B1(_0304_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net185),
     .X(_0005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_4 _1230_ (.A1(_0305_),
+ sky130_fd_sc_hd__a22o_4 _1195_ (.A1(\u_wb_arb.state[2] ),
     .A2(net127),
-    .B1(_0304_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net196),
     .X(_0007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _1231_ (.A(_0289_),
-    .X(_0450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1232_ (.A(_0450_),
-    .X(_0451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1233_ (.A(_0302_),
-    .X(_0452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1234_ (.A(_0452_),
-    .X(_0453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_4 _1235_ (.A1(_0451_),
+ sky130_fd_sc_hd__a22o_4 _1196_ (.A1(\u_wb_arb.state[2] ),
     .A2(net130),
-    .B1(_0453_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net199),
     .X(_0009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_4 _1236_ (.A1(_0451_),
+ sky130_fd_sc_hd__a22o_4 _1197_ (.A1(\u_wb_arb.state[2] ),
     .A2(net131),
-    .B1(_0453_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net200),
     .X(_0011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1237_ (.A1(_0451_),
+ sky130_fd_sc_hd__a22o_4 _1198_ (.A1(\u_wb_arb.state[2] ),
     .A2(net132),
-    .B1(_0453_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net201),
     .X(_0013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1238_ (.A1(_0451_),
+ sky130_fd_sc_hd__a22o_4 _1199_ (.A1(\u_wb_arb.state[2] ),
     .A2(net133),
-    .B1(_0453_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net202),
     .X(_0015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1239_ (.A(_0450_),
-    .X(_0454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1240_ (.A(_0452_),
-    .X(_0455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1241_ (.A1(_0454_),
+ sky130_fd_sc_hd__a22o_1 _1200_ (.A1(\u_wb_arb.state[2] ),
     .A2(net134),
-    .B1(_0455_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net203),
     .X(_0017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1242_ (.A1(_0454_),
+ sky130_fd_sc_hd__a22o_1 _1201_ (.A1(\u_wb_arb.state[2] ),
     .A2(net135),
-    .B1(_0455_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net204),
     .X(_0019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1243_ (.A1(_0454_),
+ sky130_fd_sc_hd__a22o_1 _1202_ (.A1(\u_wb_arb.state[2] ),
     .A2(net136),
-    .B1(_0455_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net205),
     .X(_0021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1244_ (.A1(_0454_),
+ sky130_fd_sc_hd__a22o_1 _1203_ (.A1(\u_wb_arb.state[2] ),
     .A2(net106),
-    .B1(_0455_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net175),
     .X(_0023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1245_ (.A(_0450_),
-    .X(_0456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1246_ (.A(_0452_),
-    .X(_0457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1247_ (.A1(_0456_),
+ sky130_fd_sc_hd__a22o_1 _1204_ (.A1(\u_wb_arb.state[2] ),
     .A2(net107),
-    .B1(_0457_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net176),
     .X(_0025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1248_ (.A1(_0456_),
+ sky130_fd_sc_hd__a22o_1 _1205_ (.A1(\u_wb_arb.state[2] ),
     .A2(net108),
-    .B1(_0457_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net177),
     .X(_0027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1249_ (.A1(_0456_),
+ sky130_fd_sc_hd__a22o_1 _1206_ (.A1(\u_wb_arb.state[2] ),
     .A2(net109),
-    .B1(_0457_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net178),
     .X(_0029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1250_ (.A1(_0456_),
+ sky130_fd_sc_hd__a22o_1 _1207_ (.A1(\u_wb_arb.state[2] ),
     .A2(net110),
-    .B1(_0457_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net179),
     .X(_0031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1251_ (.A(_0290_),
-    .X(_0458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1252_ (.A(_0458_),
-    .X(_0459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1253_ (.A(_0303_),
-    .X(_0460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1254_ (.A(_0460_),
-    .X(_0461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1255_ (.A1(_0459_),
+ sky130_fd_sc_hd__a22o_1 _1208_ (.A1(\u_wb_arb.state[2] ),
     .A2(net111),
-    .B1(_0461_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net180),
     .X(_0033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1256_ (.A1(_0459_),
+ sky130_fd_sc_hd__a22o_1 _1209_ (.A1(\u_wb_arb.state[2] ),
     .A2(net112),
-    .B1(_0461_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net181),
     .X(_0035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1257_ (.A1(_0459_),
+ sky130_fd_sc_hd__a22o_1 _1210_ (.A1(\u_wb_arb.state[2] ),
     .A2(net113),
-    .B1(_0461_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net182),
     .X(_0037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1258_ (.A1(_0459_),
+ sky130_fd_sc_hd__a22o_2 _1211_ (.A1(\u_wb_arb.state[2] ),
     .A2(net114),
-    .B1(_0461_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net183),
     .X(_0039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1259_ (.A(_0458_),
-    .X(_0462_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1260_ (.A(_0460_),
-    .X(_0463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1261_ (.A1(_0462_),
+ sky130_fd_sc_hd__a22o_1 _1212_ (.A1(\u_wb_arb.state[2] ),
     .A2(net115),
-    .B1(_0463_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net184),
     .X(_0041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1262_ (.A1(_0462_),
+ sky130_fd_sc_hd__a22o_1 _1213_ (.A1(\u_wb_arb.state[2] ),
     .A2(net117),
-    .B1(_0463_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net186),
     .X(_0043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1263_ (.A1(_0462_),
+ sky130_fd_sc_hd__a22o_1 _1214_ (.A1(\u_wb_arb.state[2] ),
     .A2(net118),
-    .B1(_0463_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net187),
     .X(_0045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1264_ (.A1(_0462_),
+ sky130_fd_sc_hd__a22o_1 _1215_ (.A1(\u_wb_arb.state[2] ),
     .A2(net119),
-    .B1(_0463_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net188),
     .X(_0047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1265_ (.A(_0458_),
-    .X(_0464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1266_ (.A(_0460_),
-    .X(_0465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1267_ (.A1(_0464_),
+ sky130_fd_sc_hd__a22o_1 _1216_ (.A1(\u_wb_arb.state[2] ),
     .A2(net120),
-    .B1(_0465_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net189),
     .X(_0049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1268_ (.A1(_0464_),
+ sky130_fd_sc_hd__a22o_1 _1217_ (.A1(\u_wb_arb.state[2] ),
     .A2(net121),
-    .B1(_0465_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net190),
     .X(_0051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1269_ (.A1(_0464_),
+ sky130_fd_sc_hd__a22o_2 _1218_ (.A1(\u_wb_arb.state[2] ),
     .A2(net122),
-    .B1(_0465_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net191),
     .X(_0053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1270_ (.A1(_0464_),
+ sky130_fd_sc_hd__a22o_2 _1219_ (.A1(\u_wb_arb.state[2] ),
     .A2(net123),
-    .B1(_0465_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net192),
     .X(_0055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1271_ (.A(_0458_),
-    .X(_0466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1272_ (.A(_0460_),
-    .X(_0467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1273_ (.A1(_0466_),
+ sky130_fd_sc_hd__a22o_2 _1220_ (.A1(\u_wb_arb.state[2] ),
     .A2(net124),
-    .B1(_0467_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net193),
     .X(_0057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1274_ (.A1(_0466_),
+ sky130_fd_sc_hd__a22o_2 _1221_ (.A1(\u_wb_arb.state[2] ),
     .A2(net125),
-    .B1(_0467_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net194),
     .X(_0059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1275_ (.A1(_0466_),
+ sky130_fd_sc_hd__a22o_1 _1222_ (.A1(\u_wb_arb.state[2] ),
     .A2(net126),
-    .B1(_0467_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net195),
     .X(_0061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1276_ (.A1(_0466_),
+ sky130_fd_sc_hd__a22o_1 _1223_ (.A1(\u_wb_arb.state[2] ),
     .A2(net128),
-    .B1(_0467_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net197),
     .X(_0063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1277_ (.A(_0289_),
-    .X(_0468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1278_ (.A(_0468_),
-    .X(_0469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1279_ (.A(_0302_),
-    .X(_0470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1280_ (.A(_0470_),
-    .X(_0471_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1281_ (.A1(_0469_),
+ sky130_fd_sc_hd__a22o_1 _1224_ (.A1(\u_wb_arb.state[2] ),
     .A2(net129),
-    .B1(_0471_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net198),
     .X(_0065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1282_ (.A1(_0469_),
+ sky130_fd_sc_hd__a22o_1 _1225_ (.A1(\u_wb_arb.state[2] ),
     .A2(net94),
-    .B1(_0471_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net163),
     .X(_0067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1283_ (.A1(_0469_),
+ sky130_fd_sc_hd__a22o_2 _1226_ (.A1(\u_wb_arb.state[2] ),
     .A2(net97),
-    .B1(_0471_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net166),
     .X(_0069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1284_ (.A1(_0469_),
+ sky130_fd_sc_hd__a22o_2 _1227_ (.A1(\u_wb_arb.state[2] ),
     .A2(net98),
-    .B1(_0471_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net167),
     .X(_0071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1285_ (.A(_0468_),
-    .X(_0472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1286_ (.A(_0470_),
-    .X(_0473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1287_ (.A1(_0472_),
+ sky130_fd_sc_hd__a22o_1 _1228_ (.A1(\u_wb_arb.state[2] ),
     .A2(net99),
-    .B1(_0473_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net168),
     .X(_0073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1288_ (.A1(_0472_),
+ sky130_fd_sc_hd__a22o_1 _1229_ (.A1(\u_wb_arb.state[2] ),
     .A2(net100),
-    .B1(_0473_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net169),
     .X(_0075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1289_ (.A1(_0472_),
+ sky130_fd_sc_hd__a22o_1 _1230_ (.A1(\u_wb_arb.state[2] ),
     .A2(net101),
-    .B1(_0473_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net170),
     .X(_0077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1290_ (.A1(_0472_),
+ sky130_fd_sc_hd__a22o_1 _1231_ (.A1(\u_wb_arb.state[2] ),
     .A2(net102),
-    .B1(_0473_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net171),
     .X(_0079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1291_ (.A(_0468_),
-    .X(_0474_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1292_ (.A(_0470_),
-    .X(_0475_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1293_ (.A1(_0474_),
+ sky130_fd_sc_hd__a22o_1 _1232_ (.A1(\u_wb_arb.state[2] ),
     .A2(net103),
-    .B1(_0475_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net172),
     .X(_0081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1294_ (.A1(_0474_),
+ sky130_fd_sc_hd__a22o_1 _1233_ (.A1(\u_wb_arb.state[2] ),
     .A2(net74),
-    .B1(_0475_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net143),
     .X(_0083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1295_ (.A1(_0474_),
+ sky130_fd_sc_hd__a22o_1 _1234_ (.A1(\u_wb_arb.state[2] ),
     .A2(net75),
-    .B1(_0475_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net144),
     .X(_0085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_4 _1296_ (.A1(_0474_),
+ sky130_fd_sc_hd__a22o_1 _1235_ (.A1(\u_wb_arb.state[2] ),
     .A2(net76),
-    .B1(_0475_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net145),
     .X(_0087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1297_ (.A(_0468_),
-    .X(_0476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1298_ (.A(_0470_),
-    .X(_0477_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1299_ (.A1(_0476_),
+ sky130_fd_sc_hd__a22o_1 _1236_ (.A1(\u_wb_arb.state[2] ),
     .A2(net77),
-    .B1(_0477_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net146),
     .X(_0089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1300_ (.A1(_0476_),
+ sky130_fd_sc_hd__a22o_1 _1237_ (.A1(\u_wb_arb.state[2] ),
     .A2(net78),
-    .B1(_0477_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net147),
     .X(_0091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1301_ (.A1(_0476_),
+ sky130_fd_sc_hd__a22o_1 _1238_ (.A1(\u_wb_arb.state[2] ),
     .A2(net79),
-    .B1(_0477_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net148),
     .X(_0093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1302_ (.A1(_0476_),
+ sky130_fd_sc_hd__a22o_2 _1239_ (.A1(\u_wb_arb.state[2] ),
     .A2(net80),
-    .B1(_0477_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net149),
     .X(_0095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1303_ (.A(_0289_),
-    .X(_0478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1304_ (.A(_0478_),
-    .X(_0479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1305_ (.A(_0302_),
-    .X(_0480_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1306_ (.A(_0480_),
-    .X(_0481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1307_ (.A1(_0479_),
+ sky130_fd_sc_hd__a22o_2 _1240_ (.A1(\u_wb_arb.state[2] ),
     .A2(net81),
-    .B1(_0481_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net150),
     .X(_0097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1308_ (.A1(_0479_),
+ sky130_fd_sc_hd__a22o_2 _1241_ (.A1(\u_wb_arb.state[2] ),
     .A2(net82),
-    .B1(_0481_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net151),
     .X(_0099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1309_ (.A1(_0479_),
+ sky130_fd_sc_hd__a22o_2 _1242_ (.A1(\u_wb_arb.state[2] ),
     .A2(net83),
-    .B1(_0481_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net152),
     .X(_0101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1310_ (.A1(_0479_),
+ sky130_fd_sc_hd__a22o_1 _1243_ (.A1(\u_wb_arb.state[2] ),
     .A2(net84),
-    .B1(_0481_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net153),
     .X(_0103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1311_ (.A(_0478_),
-    .X(_0482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1312_ (.A(_0480_),
-    .X(_0483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1313_ (.A1(_0482_),
+ sky130_fd_sc_hd__a22o_1 _1244_ (.A1(\u_wb_arb.state[2] ),
     .A2(net85),
-    .B1(_0483_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net154),
     .X(_0105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1314_ (.A1(_0482_),
+ sky130_fd_sc_hd__a22o_1 _1245_ (.A1(\u_wb_arb.state[2] ),
     .A2(net86),
-    .B1(_0483_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net155),
     .X(_0107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1315_ (.A1(_0482_),
+ sky130_fd_sc_hd__a22o_1 _1246_ (.A1(\u_wb_arb.state[2] ),
     .A2(net87),
-    .B1(_0483_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net156),
     .X(_0109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1316_ (.A1(_0482_),
+ sky130_fd_sc_hd__a22o_1 _1247_ (.A1(\u_wb_arb.state[2] ),
     .A2(net88),
-    .B1(_0483_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net157),
     .X(_0111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1317_ (.A(_0478_),
-    .X(_0484_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1318_ (.A(_0480_),
-    .X(_0485_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1319_ (.A1(_0484_),
+ sky130_fd_sc_hd__a22o_1 _1248_ (.A1(\u_wb_arb.state[2] ),
     .A2(net89),
-    .B1(_0485_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net158),
     .X(_0113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1320_ (.A1(_0484_),
+ sky130_fd_sc_hd__a22o_1 _1249_ (.A1(\u_wb_arb.state[2] ),
     .A2(net90),
-    .B1(_0485_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net159),
     .X(_0115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1321_ (.A1(_0484_),
+ sky130_fd_sc_hd__a22o_1 _1250_ (.A1(\u_wb_arb.state[2] ),
     .A2(net91),
-    .B1(_0485_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net160),
     .X(_0117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1322_ (.A1(_0484_),
+ sky130_fd_sc_hd__a22o_2 _1251_ (.A1(\u_wb_arb.state[2] ),
     .A2(net92),
-    .B1(_0485_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net161),
     .X(_0119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1323_ (.A(_0478_),
-    .X(_0486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1324_ (.A(_0480_),
-    .X(_0487_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1325_ (.A1(_0486_),
+ sky130_fd_sc_hd__a22o_4 _1252_ (.A1(\u_wb_arb.state[2] ),
     .A2(net93),
-    .B1(_0487_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net162),
     .X(_0121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1326_ (.A1(_0486_),
+ sky130_fd_sc_hd__a22o_4 _1253_ (.A1(\u_wb_arb.state[2] ),
     .A2(net95),
-    .B1(_0487_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net164),
     .X(_0123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_2 _1327_ (.A1(_0486_),
+ sky130_fd_sc_hd__a22o_4 _1254_ (.A1(\u_wb_arb.state[2] ),
     .A2(net96),
-    .B1(_0487_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net165),
     .X(_0125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1328_ (.A1(_0486_),
+ sky130_fd_sc_hd__a22o_1 _1255_ (.A1(\u_wb_arb.state[2] ),
     .A2(net137),
-    .B1(_0487_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net206),
     .X(_0127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1329_ (.A(_0290_),
-    .X(_0488_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1330_ (.A(_0303_),
-    .X(_0489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1331_ (.A1(_0488_),
+ sky130_fd_sc_hd__a22o_1 _1256_ (.A1(\u_wb_arb.state[2] ),
     .A2(net138),
-    .B1(_0489_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net207),
     .X(_0129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1332_ (.A1(_0488_),
+ sky130_fd_sc_hd__a22o_1 _1257_ (.A1(\u_wb_arb.state[2] ),
     .A2(net139),
-    .B1(_0489_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net208),
     .X(_0131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1333_ (.A1(_0488_),
+ sky130_fd_sc_hd__a22o_1 _1258_ (.A1(\u_wb_arb.state[2] ),
     .A2(net140),
-    .B1(_0489_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net209),
     .X(_0133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1334_ (.A(net11),
-    .Y(_0490_),
+ sky130_fd_sc_hd__inv_2 _1259_ (.A(net11),
+    .Y(_0452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _1335_ (.A(net16),
+ sky130_fd_sc_hd__or4_1 _1260_ (.A(net16),
     .B(net15),
     .C(net18),
     .D(net17),
-    .X(_0491_),
+    .X(_0453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4b_1 _1336_ (.A(net13),
+ sky130_fd_sc_hd__or4b_1 _1261_ (.A(net13),
     .B(net12),
     .C(net14),
     .D_N(net23),
-    .X(_0492_),
+    .X(_0454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3b_1 _1337_ (.A(net27),
+ sky130_fd_sc_hd__or3b_2 _1262_ (.A(net27),
     .B(net26),
     .C_N(net24),
-    .X(_0493_),
+    .X(_0455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _1338_ (.A(net20),
+ sky130_fd_sc_hd__or4_1 _1263_ (.A(net20),
     .B(net19),
     .C(net22),
     .D(net21),
-    .X(_0494_),
+    .X(_0456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _1339_ (.A(_0491_),
-    .B(_0492_),
-    .C(_0493_),
-    .D(_0494_),
+ sky130_fd_sc_hd__or4_1 _1264_ (.A(_0453_),
+    .B(_0454_),
+    .C(_0455_),
+    .D(_0456_),
+    .X(_0457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_4 _1265_ (.A(_0457_),
     .X(_0138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _1340_ (.A1(_0490_),
+ sky130_fd_sc_hd__o22a_4 _1266_ (.A1(_0452_),
     .A2(_0138_),
     .B1(net23),
-    .B2(_0493_),
+    .B2(_0455_),
     .X(_0135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _1341_ (.A(net153),
+ sky130_fd_sc_hd__or4_4 _1267_ (.A(net153),
     .B(net154),
     .C(net155),
     .D(net156),
-    .X(_0495_),
+    .X(_0458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4b_2 _1342_ (.A(net151),
+ sky130_fd_sc_hd__or4b_1 _1268_ (.A(net151),
     .B(net152),
     .C(net150),
     .D_N(net149),
-    .X(_0496_),
+    .X(_0459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _1343_ (.A(net161),
+ sky130_fd_sc_hd__nand2_1 _1269_ (.A(net161),
     .B(net162),
-    .Y(_0497_),
+    .Y(_0460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _1344_ (.A(net164),
+ sky130_fd_sc_hd__or4_1 _1270_ (.A(net164),
     .B(net165),
     .C(net157),
     .D(net158),
-    .X(_0498_),
+    .X(_0461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _1345_ (.A(net159),
+ sky130_fd_sc_hd__or4_4 _1271_ (.A(net159),
     .B(net160),
-    .C(_0497_),
-    .D(_0498_),
-    .X(_0499_),
+    .C(_0460_),
+    .D(_0461_),
+    .X(_0462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4b_1 _1346_ (.A(net164),
+ sky130_fd_sc_hd__or4b_2 _1272_ (.A(net164),
     .B(net165),
     .C(net161),
     .D_N(net162),
-    .X(_0500_),
+    .X(_0463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o31a_4 _1347_ (.A1(_0495_),
-    .A2(_0496_),
-    .A3(_0499_),
-    .B1(_0500_),
-    .X(_0501_),
+ sky130_fd_sc_hd__o31a_1 _1273_ (.A1(_0458_),
+    .A2(_0459_),
+    .A3(_0462_),
+    .B1(_0463_),
+    .X(_0464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_1 _1348_ (.A(net82),
+ sky130_fd_sc_hd__or2_1 _1274_ (.A(net82),
     .B(net83),
-    .X(_0502_),
+    .X(_0465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2b_1 _1349_ (.A(net81),
+ sky130_fd_sc_hd__or2b_1 _1275_ (.A(net81),
     .B_N(net80),
-    .X(_0503_),
+    .X(_0466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _1350_ (.A(net84),
+ sky130_fd_sc_hd__or4_4 _1276_ (.A(net84),
     .B(net85),
     .C(net86),
     .D(net87),
-    .X(_0504_),
+    .X(_0467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_1 _1351_ (.A(net92),
+ sky130_fd_sc_hd__nand2_1 _1277_ (.A(net92),
     .B(net93),
-    .Y(_0505_),
+    .Y(_0468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_1 _1352_ (.A(net95),
+ sky130_fd_sc_hd__or4_1 _1278_ (.A(net95),
     .B(net96),
     .C(net88),
     .D(net89),
-    .X(_0506_),
+    .X(_0469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _1353_ (.A(net90),
+ sky130_fd_sc_hd__or4_4 _1279_ (.A(net90),
     .B(net91),
-    .C(_0505_),
-    .D(_0506_),
-    .X(_0507_),
+    .C(_0468_),
+    .D(_0469_),
+    .X(_0470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4b_4 _1354_ (.A(net95),
+ sky130_fd_sc_hd__or4b_4 _1280_ (.A(net95),
     .B(net96),
     .C(net92),
     .D_N(net93),
-    .X(_0508_),
+    .X(_0471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o41a_4 _1355_ (.A1(_0502_),
-    .A2(_0503_),
-    .A3(_0504_),
-    .A4(_0507_),
-    .B1(_0508_),
-    .X(_0509_),
+ sky130_fd_sc_hd__o41a_2 _1281_ (.A1(_0465_),
+    .A2(_0466_),
+    .A3(_0467_),
+    .A4(_0470_),
+    .B1(_0471_),
+    .X(_0472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1356_ (.A1(_0306_),
-    .A2(_0501_),
-    .B1(_0307_),
-    .B2(_0509_),
+ sky130_fd_sc_hd__o22a_1 _1282_ (.A1(_0293_),
+    .A2(_0464_),
+    .B1(_0298_),
+    .B2(_0472_),
     .X(_0136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _1357_ (.A(net151),
+ sky130_fd_sc_hd__or4_4 _1283_ (.A(net151),
     .B(net152),
-    .C(_0280_),
+    .C(_0282_),
     .D(net150),
-    .X(_0510_),
+    .X(_0473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _1358_ (.A(_0281_),
+ sky130_fd_sc_hd__or4_1 _1284_ (.A(_0438_),
     .B(net81),
-    .C(_0502_),
-    .D(_0504_),
-    .X(_0511_),
+    .C(_0465_),
+    .D(_0467_),
+    .X(_0474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_2 _1359_ (.A1(_0495_),
-    .A2(_0510_),
-    .A3(_0499_),
-    .B1(_0507_),
-    .B2(_0511_),
+ sky130_fd_sc_hd__o32a_1 _1285_ (.A1(_0458_),
+    .A2(_0473_),
+    .A3(_0462_),
+    .B1(_0470_),
+    .B2(_0474_),
     .X(_0139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1360_ (.A(net280),
-    .Y(_0512_),
+ sky130_fd_sc_hd__inv_2 _1286_ (.A(net313),
+    .Y(_0475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 _1361_ (.A(_0313_),
-    .X(_0513_),
+ sky130_fd_sc_hd__inv_2 _1287_ (.A(net280),
+    .Y(_0476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1362_ (.A(net313),
-    .Y(_0514_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1288_ (.A(_0324_),
+    .X(_0477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1363_ (.A(_0352_),
-    .X(_0515_),
+ sky130_fd_sc_hd__inv_2 _1289_ (.A(net247),
+    .Y(_0478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1364_ (.A(net247),
-    .Y(_0516_),
+ sky130_fd_sc_hd__clkbuf_4 _1290_ (.A(_0313_),
+    .X(_0479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _1365_ (.A(_0319_),
-    .X(_0517_),
+ sky130_fd_sc_hd__buf_2 _1291_ (.A(_0479_),
+    .X(_0480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1366_ (.A1(_0514_),
-    .A2(_0515_),
-    .B1(_0516_),
-    .B2(_0517_),
-    .X(_0518_),
+ sky130_fd_sc_hd__o22a_2 _1292_ (.A1(_0476_),
+    .A2(_0477_),
+    .B1(_0478_),
+    .B2(_0480_),
+    .X(_0481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1367_ (.A1(_0512_),
-    .A2(_0513_),
-    .B1(_0518_),
+ sky130_fd_sc_hd__o21ai_4 _1293_ (.A1(_0475_),
+    .A2(_0390_),
+    .B1(_0481_),
     .Y(_0141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1368_ (.A(net291),
-    .Y(_0519_),
+ sky130_fd_sc_hd__inv_2 _1294_ (.A(net324),
+    .Y(_0482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1369_ (.A(net324),
-    .Y(_0520_),
+ sky130_fd_sc_hd__inv_2 _1295_ (.A(net291),
+    .Y(_0483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1370_ (.A(net258),
-    .Y(_0521_),
+ sky130_fd_sc_hd__inv_2 _1296_ (.A(net258),
+    .Y(_0484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1371_ (.A1(_0520_),
-    .A2(_0515_),
-    .B1(_0521_),
-    .B2(_0517_),
-    .X(_0522_),
+ sky130_fd_sc_hd__o22a_2 _1297_ (.A1(_0483_),
+    .A2(_0477_),
+    .B1(_0484_),
+    .B2(_0480_),
+    .X(_0485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1372_ (.A1(_0519_),
-    .A2(_0513_),
-    .B1(_0522_),
+ sky130_fd_sc_hd__o21ai_4 _1298_ (.A1(_0482_),
+    .A2(_0390_),
+    .B1(_0485_),
     .Y(_0142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1373_ (.A(net302),
-    .Y(_0523_),
+ sky130_fd_sc_hd__inv_2 _1299_ (.A(net335),
+    .Y(_0486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1374_ (.A(net335),
-    .Y(_0524_),
+ sky130_fd_sc_hd__inv_2 _1300_ (.A(net302),
+    .Y(_0487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1375_ (.A(net269),
-    .Y(_0525_),
+ sky130_fd_sc_hd__inv_2 _1301_ (.A(net269),
+    .Y(_0488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1376_ (.A(_0319_),
-    .X(_0526_),
+ sky130_fd_sc_hd__clkbuf_2 _1302_ (.A(_0479_),
+    .X(_0489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1377_ (.A1(_0524_),
-    .A2(_0515_),
-    .B1(_0525_),
-    .B2(_0526_),
-    .X(_0527_),
+ sky130_fd_sc_hd__o22a_2 _1303_ (.A1(_0487_),
+    .A2(_0477_),
+    .B1(_0488_),
+    .B2(_0489_),
+    .X(_0490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1378_ (.A1(_0523_),
-    .A2(_0513_),
-    .B1(_0527_),
+ sky130_fd_sc_hd__o21ai_4 _1304_ (.A1(_0486_),
+    .A2(_0390_),
+    .B1(_0490_),
     .Y(_0143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1379_ (.A(net305),
-    .Y(_0528_),
+ sky130_fd_sc_hd__inv_2 _1305_ (.A(net338),
+    .Y(_0491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1380_ (.A(_0312_),
-    .X(_0529_),
+ sky130_fd_sc_hd__clkbuf_4 _1306_ (.A(_0388_),
+    .X(_0492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1381_ (.A(_0529_),
-    .X(_0530_),
+ sky130_fd_sc_hd__inv_2 _1307_ (.A(net305),
+    .Y(_0493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1382_ (.A(net338),
-    .Y(_0531_),
+ sky130_fd_sc_hd__inv_2 _1308_ (.A(net272),
+    .Y(_0494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1383_ (.A(net272),
-    .Y(_0532_),
+ sky130_fd_sc_hd__o22a_2 _1309_ (.A1(_0493_),
+    .A2(_0477_),
+    .B1(_0494_),
+    .B2(_0489_),
+    .X(_0495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1384_ (.A1(_0531_),
-    .A2(_0515_),
-    .B1(_0532_),
-    .B2(_0526_),
-    .X(_0533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1385_ (.A1(_0528_),
-    .A2(_0530_),
-    .B1(_0533_),
+ sky130_fd_sc_hd__o21ai_4 _1310_ (.A1(_0491_),
+    .A2(_0492_),
+    .B1(_0495_),
     .Y(_0144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1386_ (.A(net306),
-    .Y(_0534_),
+ sky130_fd_sc_hd__inv_2 _1311_ (.A(net339),
+    .Y(_0496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1387_ (.A(net339),
-    .Y(_0535_),
+ sky130_fd_sc_hd__clkinv_2 _1312_ (.A(net306),
+    .Y(_0497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1388_ (.A(_0350_),
-    .X(_0536_),
+ sky130_fd_sc_hd__clkbuf_2 _1313_ (.A(_0322_),
+    .X(_0498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1389_ (.A(_0536_),
-    .X(_0537_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1314_ (.A(_0498_),
+    .X(_0499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1390_ (.A(net273),
-    .Y(_0538_),
+ sky130_fd_sc_hd__inv_2 _1315_ (.A(net273),
+    .Y(_0500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1391_ (.A1(_0535_),
-    .A2(_0537_),
-    .B1(_0538_),
-    .B2(_0526_),
-    .X(_0539_),
+ sky130_fd_sc_hd__o22a_2 _1316_ (.A1(_0497_),
+    .A2(_0499_),
+    .B1(_0500_),
+    .B2(_0489_),
+    .X(_0501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1392_ (.A1(_0534_),
-    .A2(_0530_),
-    .B1(_0539_),
+ sky130_fd_sc_hd__o21ai_4 _1317_ (.A1(_0496_),
+    .A2(_0492_),
+    .B1(_0501_),
     .Y(_0145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1393_ (.A(net307),
-    .Y(_0540_),
+ sky130_fd_sc_hd__inv_2 _1318_ (.A(net340),
+    .Y(_0502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1394_ (.A(net340),
-    .Y(_0541_),
+ sky130_fd_sc_hd__clkinv_2 _1319_ (.A(net307),
+    .Y(_0503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1395_ (.A(net274),
-    .Y(_0542_),
+ sky130_fd_sc_hd__inv_2 _1320_ (.A(net274),
+    .Y(_0504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1396_ (.A1(_0541_),
-    .A2(_0537_),
-    .B1(_0542_),
-    .B2(_0526_),
-    .X(_0543_),
+ sky130_fd_sc_hd__o22a_2 _1321_ (.A1(_0503_),
+    .A2(_0499_),
+    .B1(_0504_),
+    .B2(_0489_),
+    .X(_0505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1397_ (.A1(_0540_),
-    .A2(_0530_),
-    .B1(_0543_),
+ sky130_fd_sc_hd__o21ai_4 _1322_ (.A1(_0502_),
+    .A2(_0492_),
+    .B1(_0505_),
     .Y(_0146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1398_ (.A(net308),
-    .Y(_0544_),
+ sky130_fd_sc_hd__inv_2 _1323_ (.A(net341),
+    .Y(_0506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1399_ (.A(net341),
+ sky130_fd_sc_hd__inv_2 _1324_ (.A(net308),
+    .Y(_0507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1325_ (.A(net275),
+    .Y(_0508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1326_ (.A(_0479_),
+    .X(_0509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1327_ (.A1(_0507_),
+    .A2(_0499_),
+    .B1(_0508_),
+    .B2(_0509_),
+    .X(_0510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1328_ (.A1(_0506_),
+    .A2(_0492_),
+    .B1(_0510_),
+    .Y(_0147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1329_ (.A(net342),
+    .Y(_0511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_4 _1330_ (.A(_0388_),
+    .X(_0512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1331_ (.A(net309),
+    .Y(_0513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1332_ (.A(net276),
+    .Y(_0514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1333_ (.A1(_0513_),
+    .A2(_0499_),
+    .B1(_0514_),
+    .B2(_0509_),
+    .X(_0515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1334_ (.A1(_0511_),
+    .A2(_0512_),
+    .B1(_0515_),
+    .Y(_0148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1335_ (.A(net343),
+    .Y(_0516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1336_ (.A(net310),
+    .Y(_0517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1337_ (.A(_0498_),
+    .X(_0518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1338_ (.A(net277),
+    .Y(_0519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1339_ (.A1(_0517_),
+    .A2(_0518_),
+    .B1(_0519_),
+    .B2(_0509_),
+    .X(_0520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1340_ (.A1(_0516_),
+    .A2(_0512_),
+    .B1(_0520_),
+    .Y(_0149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1341_ (.A(net344),
+    .Y(_0521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1342_ (.A(net311),
+    .Y(_0522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1343_ (.A(net278),
+    .Y(_0523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1344_ (.A1(_0522_),
+    .A2(_0518_),
+    .B1(_0523_),
+    .B2(_0509_),
+    .X(_0524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1345_ (.A1(_0521_),
+    .A2(_0512_),
+    .B1(_0524_),
+    .Y(_0150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1346_ (.A(net314),
+    .Y(_0525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _1347_ (.A(net281),
+    .Y(_0526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1348_ (.A(net248),
+    .Y(_0527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _1349_ (.A(_0312_),
+    .X(_0528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _1350_ (.A(_0528_),
+    .X(_0529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1351_ (.A1(_0526_),
+    .A2(_0518_),
+    .B1(_0527_),
+    .B2(_0529_),
+    .X(_0530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1352_ (.A1(_0525_),
+    .A2(_0512_),
+    .B1(_0530_),
+    .Y(_0151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1353_ (.A(net315),
+    .Y(_0531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_4 _1354_ (.A(_0388_),
+    .X(_0532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1355_ (.A(net282),
+    .Y(_0533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1356_ (.A(net249),
+    .Y(_0534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1357_ (.A1(_0533_),
+    .A2(_0518_),
+    .B1(_0534_),
+    .B2(_0529_),
+    .X(_0535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1358_ (.A1(_0531_),
+    .A2(_0532_),
+    .B1(_0535_),
+    .Y(_0152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1359_ (.A(net316),
+    .Y(_0536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _1360_ (.A(net283),
+    .Y(_0537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1361_ (.A(_0498_),
+    .X(_0538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1362_ (.A(net250),
+    .Y(_0539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1363_ (.A1(_0537_),
+    .A2(_0538_),
+    .B1(_0539_),
+    .B2(_0529_),
+    .X(_0540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1364_ (.A1(_0536_),
+    .A2(_0532_),
+    .B1(_0540_),
+    .Y(_0153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1365_ (.A(net317),
+    .Y(_0541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _1366_ (.A(net284),
+    .Y(_0542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1367_ (.A(net251),
+    .Y(_0543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1368_ (.A1(_0542_),
+    .A2(_0538_),
+    .B1(_0543_),
+    .B2(_0529_),
+    .X(_0544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1369_ (.A1(_0541_),
+    .A2(_0532_),
+    .B1(_0544_),
+    .Y(_0154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1370_ (.A(net318),
     .Y(_0545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1400_ (.A(net275),
+ sky130_fd_sc_hd__clkinv_2 _1371_ (.A(net285),
     .Y(_0546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1401_ (.A(_0317_),
-    .X(_0547_),
+ sky130_fd_sc_hd__inv_2 _1372_ (.A(net252),
+    .Y(_0547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1402_ (.A(_0547_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1373_ (.A(_0528_),
     .X(_0548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1403_ (.A1(_0545_),
-    .A2(_0537_),
-    .B1(_0546_),
+ sky130_fd_sc_hd__o22a_2 _1374_ (.A1(_0546_),
+    .A2(_0538_),
+    .B1(_0547_),
     .B2(_0548_),
     .X(_0549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1404_ (.A1(_0544_),
-    .A2(_0530_),
+ sky130_fd_sc_hd__o21ai_4 _1375_ (.A1(_0545_),
+    .A2(_0532_),
     .B1(_0549_),
-    .Y(_0147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1405_ (.A(net309),
-    .Y(_0550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1406_ (.A(_0529_),
-    .X(_0551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1407_ (.A(net342),
-    .Y(_0552_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1408_ (.A(net276),
-    .Y(_0553_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1409_ (.A1(_0552_),
-    .A2(_0537_),
-    .B1(_0553_),
-    .B2(_0548_),
-    .X(_0554_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1410_ (.A1(_0550_),
-    .A2(_0551_),
-    .B1(_0554_),
-    .Y(_0148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1411_ (.A(net310),
-    .Y(_0555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1412_ (.A(net343),
-    .Y(_0556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1413_ (.A(_0536_),
-    .X(_0557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1414_ (.A(net277),
-    .Y(_0558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1415_ (.A1(_0556_),
-    .A2(_0557_),
-    .B1(_0558_),
-    .B2(_0548_),
-    .X(_0559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1416_ (.A1(_0555_),
-    .A2(_0551_),
-    .B1(_0559_),
-    .Y(_0149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1417_ (.A(net311),
-    .Y(_0560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1418_ (.A(net344),
-    .Y(_0561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1419_ (.A(net278),
-    .Y(_0562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1420_ (.A1(_0561_),
-    .A2(_0557_),
-    .B1(_0562_),
-    .B2(_0548_),
-    .X(_0563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1421_ (.A1(_0560_),
-    .A2(_0551_),
-    .B1(_0563_),
-    .Y(_0150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1422_ (.A(net281),
-    .Y(_0564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1423_ (.A(net314),
-    .Y(_0565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1424_ (.A(net248),
-    .Y(_0566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1425_ (.A(_0547_),
-    .X(_0567_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1426_ (.A1(_0565_),
-    .A2(_0557_),
-    .B1(_0566_),
-    .B2(_0567_),
-    .X(_0568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1427_ (.A1(_0564_),
-    .A2(_0551_),
-    .B1(_0568_),
-    .Y(_0151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1428_ (.A(net282),
-    .Y(_0569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1429_ (.A(_0529_),
-    .X(_0570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1430_ (.A(net315),
-    .Y(_0571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1431_ (.A(net249),
-    .Y(_0572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1432_ (.A1(_0571_),
-    .A2(_0557_),
-    .B1(_0572_),
-    .B2(_0567_),
-    .X(_0573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1433_ (.A1(_0569_),
-    .A2(_0570_),
-    .B1(_0573_),
-    .Y(_0152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1434_ (.A(net283),
-    .Y(_0574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1435_ (.A(net316),
-    .Y(_0575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1436_ (.A(_0536_),
-    .X(_0576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1437_ (.A(net250),
-    .Y(_0577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1438_ (.A1(_0575_),
-    .A2(_0576_),
-    .B1(_0577_),
-    .B2(_0567_),
-    .X(_0578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1439_ (.A1(_0574_),
-    .A2(_0570_),
-    .B1(_0578_),
-    .Y(_0153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1440_ (.A(net284),
-    .Y(_0579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1441_ (.A(net317),
-    .Y(_0580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1442_ (.A(net251),
-    .Y(_0581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1443_ (.A1(_0580_),
-    .A2(_0576_),
-    .B1(_0581_),
-    .B2(_0567_),
-    .X(_0582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1444_ (.A1(_0579_),
-    .A2(_0570_),
-    .B1(_0582_),
-    .Y(_0154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1445_ (.A(net285),
-    .Y(_0583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1446_ (.A(net318),
-    .Y(_0584_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1447_ (.A(net252),
-    .Y(_0585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1448_ (.A(_0547_),
-    .X(_0586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1449_ (.A1(_0584_),
-    .A2(_0576_),
-    .B1(_0585_),
-    .B2(_0586_),
-    .X(_0587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1450_ (.A1(_0583_),
-    .A2(_0570_),
-    .B1(_0587_),
     .Y(_0155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1451_ (.A(net286),
-    .Y(_0588_),
+ sky130_fd_sc_hd__inv_2 _1376_ (.A(net319),
+    .Y(_0550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1452_ (.A(_0312_),
-    .X(_0589_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1377_ (.A(_0308_),
+    .X(_0551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1453_ (.A(_0589_),
-    .X(_0590_),
+ sky130_fd_sc_hd__buf_2 _1378_ (.A(_0551_),
+    .X(_0552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1454_ (.A(net319),
-    .Y(_0591_),
+ sky130_fd_sc_hd__clkinv_2 _1379_ (.A(net286),
+    .Y(_0553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1455_ (.A(net253),
-    .Y(_0592_),
+ sky130_fd_sc_hd__inv_2 _1380_ (.A(net253),
+    .Y(_0554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1456_ (.A1(_0591_),
-    .A2(_0576_),
-    .B1(_0592_),
-    .B2(_0586_),
-    .X(_0593_),
+ sky130_fd_sc_hd__o22a_2 _1381_ (.A1(_0553_),
+    .A2(_0538_),
+    .B1(_0554_),
+    .B2(_0548_),
+    .X(_0555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_2 _1457_ (.A1(_0588_),
-    .A2(_0590_),
-    .B1(_0593_),
+ sky130_fd_sc_hd__o21ai_4 _1382_ (.A1(_0550_),
+    .A2(_0552_),
+    .B1(_0555_),
     .Y(_0156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1458_ (.A(net287),
-    .Y(_0594_),
+ sky130_fd_sc_hd__inv_2 _1383_ (.A(net320),
+    .Y(_0556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1459_ (.A(net320),
-    .Y(_0595_),
+ sky130_fd_sc_hd__clkinv_2 _1384_ (.A(net287),
+    .Y(_0557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1460_ (.A(_0536_),
-    .X(_0596_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1385_ (.A(_0498_),
+    .X(_0558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1461_ (.A(net254),
-    .Y(_0597_),
+ sky130_fd_sc_hd__inv_2 _1386_ (.A(net254),
+    .Y(_0559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1462_ (.A1(_0595_),
-    .A2(_0596_),
-    .B1(_0597_),
-    .B2(_0586_),
-    .X(_0598_),
+ sky130_fd_sc_hd__o22a_1 _1387_ (.A1(_0557_),
+    .A2(_0558_),
+    .B1(_0559_),
+    .B2(_0548_),
+    .X(_0560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1463_ (.A1(_0594_),
-    .A2(_0590_),
-    .B1(_0598_),
+ sky130_fd_sc_hd__o21ai_2 _1388_ (.A1(_0556_),
+    .A2(_0552_),
+    .B1(_0560_),
     .Y(_0157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1464_ (.A(net288),
-    .Y(_0599_),
+ sky130_fd_sc_hd__inv_2 _1389_ (.A(net321),
+    .Y(_0561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1465_ (.A(net321),
-    .Y(_0600_),
+ sky130_fd_sc_hd__clkinv_2 _1390_ (.A(net288),
+    .Y(_0562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1466_ (.A(net255),
-    .Y(_0601_),
+ sky130_fd_sc_hd__inv_2 _1391_ (.A(net255),
+    .Y(_0563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1467_ (.A1(_0600_),
-    .A2(_0596_),
-    .B1(_0601_),
-    .B2(_0586_),
-    .X(_0602_),
+ sky130_fd_sc_hd__o22a_1 _1392_ (.A1(_0562_),
+    .A2(_0558_),
+    .B1(_0563_),
+    .B2(_0548_),
+    .X(_0564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1468_ (.A1(_0599_),
-    .A2(_0590_),
-    .B1(_0602_),
+ sky130_fd_sc_hd__o21ai_2 _1393_ (.A1(_0561_),
+    .A2(_0552_),
+    .B1(_0564_),
     .Y(_0158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _1469_ (.A(net289),
+ sky130_fd_sc_hd__inv_2 _1394_ (.A(net322),
+    .Y(_0565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _1395_ (.A(net289),
+    .Y(_0566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1396_ (.A(net256),
+    .Y(_0567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1397_ (.A(_0528_),
+    .X(_0568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _1398_ (.A1(_0566_),
+    .A2(_0558_),
+    .B1(_0567_),
+    .B2(_0568_),
+    .X(_0569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_2 _1399_ (.A1(_0565_),
+    .A2(_0552_),
+    .B1(_0569_),
+    .Y(_0159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1400_ (.A(net323),
+    .Y(_0570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_4 _1401_ (.A(_0551_),
+    .X(_0571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _1402_ (.A(net290),
+    .Y(_0572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1403_ (.A(net257),
+    .Y(_0573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _1404_ (.A1(_0572_),
+    .A2(_0558_),
+    .B1(_0573_),
+    .B2(_0568_),
+    .X(_0574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_2 _1405_ (.A1(_0570_),
+    .A2(_0571_),
+    .B1(_0574_),
+    .Y(_0160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1406_ (.A(net325),
+    .Y(_0575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1407_ (.A(net292),
+    .Y(_0576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1408_ (.A(_0323_),
+    .X(_0577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1409_ (.A(net259),
+    .Y(_0578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1410_ (.A1(_0576_),
+    .A2(_0577_),
+    .B1(_0578_),
+    .B2(_0568_),
+    .X(_0579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1411_ (.A1(_0575_),
+    .A2(_0571_),
+    .B1(_0579_),
+    .Y(_0161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1412_ (.A(net326),
+    .Y(_0580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkinv_2 _1413_ (.A(net293),
+    .Y(_0581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1414_ (.A(net260),
+    .Y(_0582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1415_ (.A1(_0581_),
+    .A2(_0577_),
+    .B1(_0582_),
+    .B2(_0568_),
+    .X(_0583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1416_ (.A1(_0580_),
+    .A2(_0571_),
+    .B1(_0583_),
+    .Y(_0162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1417_ (.A(net327),
+    .Y(_0584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1418_ (.A(net294),
+    .Y(_0585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1419_ (.A(net261),
+    .Y(_0586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1420_ (.A(_0528_),
+    .X(_0587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_2 _1421_ (.A1(_0585_),
+    .A2(_0577_),
+    .B1(_0586_),
+    .B2(_0587_),
+    .X(_0588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1422_ (.A1(_0584_),
+    .A2(_0571_),
+    .B1(_0588_),
+    .Y(_0163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1423_ (.A(net328),
+    .Y(_0589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_4 _1424_ (.A(_0551_),
+    .X(_0590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1425_ (.A(net295),
+    .Y(_0591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1426_ (.A(net262),
+    .Y(_0592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _1427_ (.A1(_0591_),
+    .A2(_0577_),
+    .B1(_0592_),
+    .B2(_0587_),
+    .X(_0593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_2 _1428_ (.A1(_0589_),
+    .A2(_0590_),
+    .B1(_0593_),
+    .Y(_0164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1429_ (.A(net329),
+    .Y(_0594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1430_ (.A(net296),
+    .Y(_0595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1431_ (.A(_0323_),
+    .X(_0596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1432_ (.A(net263),
+    .Y(_0597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _1433_ (.A1(_0595_),
+    .A2(_0596_),
+    .B1(_0597_),
+    .B2(_0587_),
+    .X(_0598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1434_ (.A1(_0594_),
+    .A2(_0590_),
+    .B1(_0598_),
+    .Y(_0165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1435_ (.A(net330),
+    .Y(_0599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1436_ (.A(net297),
+    .Y(_0600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1437_ (.A(net264),
+    .Y(_0601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_1 _1438_ (.A1(_0600_),
+    .A2(_0596_),
+    .B1(_0601_),
+    .B2(_0587_),
+    .X(_0602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _1439_ (.A1(_0599_),
+    .A2(_0590_),
+    .B1(_0602_),
+    .Y(_0166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _1440_ (.A(net331),
     .Y(_0603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1470_ (.A(net322),
+ sky130_fd_sc_hd__inv_2 _1441_ (.A(net298),
     .Y(_0604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1471_ (.A(net256),
+ sky130_fd_sc_hd__inv_2 _1442_ (.A(net265),
     .Y(_0605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1472_ (.A(_0547_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1443_ (.A(_0313_),
     .X(_0606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1473_ (.A1(_0604_),
+ sky130_fd_sc_hd__o22a_1 _1444_ (.A1(_0604_),
     .A2(_0596_),
     .B1(_0605_),
     .B2(_0606_),
@@ -124376,39 +124007,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1474_ (.A1(_0603_),
+ sky130_fd_sc_hd__o21ai_4 _1445_ (.A1(_0603_),
     .A2(_0590_),
     .B1(_0607_),
-    .Y(_0159_),
+    .Y(_0167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _1475_ (.A(net290),
+ sky130_fd_sc_hd__inv_2 _1446_ (.A(net332),
     .Y(_0608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1476_ (.A(_0589_),
+ sky130_fd_sc_hd__clkbuf_2 _1447_ (.A(_0551_),
     .X(_0609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1477_ (.A(net323),
+ sky130_fd_sc_hd__clkinv_2 _1448_ (.A(net299),
     .Y(_0610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1478_ (.A(net257),
+ sky130_fd_sc_hd__inv_2 _1449_ (.A(net266),
     .Y(_0611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1479_ (.A1(_0610_),
+ sky130_fd_sc_hd__o22a_1 _1450_ (.A1(_0610_),
     .A2(_0596_),
     .B1(_0611_),
     .B2(_0606_),
@@ -124417,39 +124048,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1480_ (.A1(_0608_),
+ sky130_fd_sc_hd__o21ai_4 _1451_ (.A1(_0608_),
     .A2(_0609_),
     .B1(_0612_),
-    .Y(_0160_),
+    .Y(_0168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _1481_ (.A(net292),
+ sky130_fd_sc_hd__inv_2 _1452_ (.A(net333),
     .Y(_0613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1482_ (.A(net325),
+ sky130_fd_sc_hd__clkinv_2 _1453_ (.A(net300),
     .Y(_0614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1483_ (.A(_0351_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1454_ (.A(_0323_),
     .X(_0615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1484_ (.A(net259),
+ sky130_fd_sc_hd__inv_2 _1455_ (.A(net267),
     .Y(_0616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1485_ (.A1(_0614_),
+ sky130_fd_sc_hd__o22a_1 _1456_ (.A1(_0614_),
     .A2(_0615_),
     .B1(_0616_),
     .B2(_0606_),
@@ -124458,33 +124089,33 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1486_ (.A1(_0613_),
+ sky130_fd_sc_hd__o21ai_1 _1457_ (.A1(_0613_),
     .A2(_0609_),
     .B1(_0617_),
-    .Y(_0161_),
+    .Y(_0169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _1487_ (.A(net293),
+ sky130_fd_sc_hd__inv_2 _1458_ (.A(net334),
     .Y(_0618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1488_ (.A(net326),
+ sky130_fd_sc_hd__clkinv_2 _1459_ (.A(net301),
     .Y(_0619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1489_ (.A(net260),
+ sky130_fd_sc_hd__inv_2 _1460_ (.A(net268),
     .Y(_0620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1490_ (.A1(_0619_),
+ sky130_fd_sc_hd__o22a_1 _1461_ (.A1(_0619_),
     .A2(_0615_),
     .B1(_0620_),
     .B2(_0606_),
@@ -124493,39 +124124,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1491_ (.A1(_0618_),
+ sky130_fd_sc_hd__o21ai_2 _1462_ (.A1(_0618_),
     .A2(_0609_),
     .B1(_0621_),
-    .Y(_0162_),
+    .Y(_0170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _1492_ (.A(net294),
+ sky130_fd_sc_hd__inv_2 _1463_ (.A(net336),
     .Y(_0622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1493_ (.A(net327),
+ sky130_fd_sc_hd__clkinv_2 _1464_ (.A(net303),
     .Y(_0623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1494_ (.A(net261),
+ sky130_fd_sc_hd__inv_2 _1465_ (.A(net270),
     .Y(_0624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1495_ (.A(_0318_),
+ sky130_fd_sc_hd__clkbuf_2 _1466_ (.A(_0313_),
     .X(_0625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1496_ (.A1(_0623_),
+ sky130_fd_sc_hd__o22a_1 _1467_ (.A1(_0623_),
     .A2(_0615_),
     .B1(_0624_),
     .B2(_0625_),
@@ -124534,2032 +124165,1716 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1497_ (.A1(_0622_),
+ sky130_fd_sc_hd__o21ai_1 _1468_ (.A1(_0622_),
     .A2(_0609_),
     .B1(_0626_),
-    .Y(_0163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1498_ (.A(net295),
-    .Y(_0627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1499_ (.A(_0589_),
-    .X(_0628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1500_ (.A(net328),
-    .Y(_0629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1501_ (.A(net262),
-    .Y(_0630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1502_ (.A1(_0629_),
-    .A2(_0615_),
-    .B1(_0630_),
-    .B2(_0625_),
-    .X(_0631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1503_ (.A1(_0627_),
-    .A2(_0628_),
-    .B1(_0631_),
-    .Y(_0164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1504_ (.A(net296),
-    .Y(_0632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1505_ (.A(net329),
-    .Y(_0633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1506_ (.A(_0351_),
-    .X(_0634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1507_ (.A(net263),
-    .Y(_0635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1508_ (.A1(_0633_),
-    .A2(_0634_),
-    .B1(_0635_),
-    .B2(_0625_),
-    .X(_0636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1509_ (.A1(_0632_),
-    .A2(_0628_),
-    .B1(_0636_),
-    .Y(_0165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1510_ (.A(net297),
-    .Y(_0637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1511_ (.A(net330),
-    .Y(_0638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1512_ (.A(net264),
-    .Y(_0639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1513_ (.A1(_0638_),
-    .A2(_0634_),
-    .B1(_0639_),
-    .B2(_0625_),
-    .X(_0640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1514_ (.A1(_0637_),
-    .A2(_0628_),
-    .B1(_0640_),
-    .Y(_0166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1515_ (.A(net298),
-    .Y(_0641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1516_ (.A(net331),
-    .Y(_0642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1517_ (.A(net265),
-    .Y(_0643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1518_ (.A(_0318_),
-    .X(_0644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1519_ (.A1(_0642_),
-    .A2(_0634_),
-    .B1(_0643_),
-    .B2(_0644_),
-    .X(_0645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1520_ (.A1(_0641_),
-    .A2(_0628_),
-    .B1(_0645_),
-    .Y(_0167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _1521_ (.A(net299),
-    .Y(_0646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1522_ (.A(_0589_),
-    .X(_0647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1523_ (.A(net332),
-    .Y(_0648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1524_ (.A(net266),
-    .Y(_0649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1525_ (.A1(_0648_),
-    .A2(_0634_),
-    .B1(_0649_),
-    .B2(_0644_),
-    .X(_0650_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1526_ (.A1(_0646_),
-    .A2(_0647_),
-    .B1(_0650_),
-    .Y(_0168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _1527_ (.A(net300),
-    .Y(_0651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1528_ (.A(net333),
-    .Y(_0652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1529_ (.A(_0351_),
-    .X(_0653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1530_ (.A(net267),
-    .Y(_0654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1531_ (.A1(_0652_),
-    .A2(_0653_),
-    .B1(_0654_),
-    .B2(_0644_),
-    .X(_0655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1532_ (.A1(_0651_),
-    .A2(_0647_),
-    .B1(_0655_),
-    .Y(_0169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkinv_4 _1533_ (.A(net301),
-    .Y(_0656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1534_ (.A(net334),
-    .Y(_0657_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1535_ (.A(net268),
-    .Y(_0658_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1536_ (.A1(_0657_),
-    .A2(_0653_),
-    .B1(_0658_),
-    .B2(_0644_),
-    .X(_0659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1537_ (.A1(_0656_),
-    .A2(_0647_),
-    .B1(_0659_),
-    .Y(_0170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_4 _1538_ (.A(net303),
-    .Y(_0660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1539_ (.A(net336),
-    .Y(_0661_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1540_ (.A(net270),
-    .Y(_0662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1541_ (.A(_0318_),
-    .X(_0663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_2 _1542_ (.A1(_0661_),
-    .A2(_0653_),
-    .B1(_0662_),
-    .B2(_0663_),
-    .X(_0664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1543_ (.A1(_0660_),
-    .A2(_0647_),
-    .B1(_0664_),
     .Y(_0171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1544_ (.A(net304),
-    .Y(_0665_),
+ sky130_fd_sc_hd__clkinv_2 _1469_ (.A(net337),
+    .Y(_0627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1545_ (.A(net337),
-    .Y(_0666_),
+ sky130_fd_sc_hd__inv_2 _1470_ (.A(net304),
+    .Y(_0628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _1546_ (.A(net271),
-    .Y(_0667_),
+ sky130_fd_sc_hd__inv_2 _1471_ (.A(net271),
+    .Y(_0629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_1 _1547_ (.A1(_0666_),
-    .A2(_0653_),
-    .B1(_0667_),
-    .B2(_0663_),
-    .X(_0668_),
+ sky130_fd_sc_hd__o22a_1 _1472_ (.A1(_0628_),
+    .A2(_0615_),
+    .B1(_0629_),
+    .B2(_0625_),
+    .X(_0630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _1548_ (.A1(_0665_),
-    .A2(_0314_),
-    .B1(_0668_),
+ sky130_fd_sc_hd__o21ai_4 _1473_ (.A1(_0627_),
+    .A2(_0310_),
+    .B1(_0630_),
     .Y(_0172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 _1549_ (.A(_0309_),
-    .X(_0669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1550_ (.A(_0349_),
-    .B(_0669_),
+ sky130_fd_sc_hd__nor2_4 _1474_ (.A(_0348_),
+    .B(_0304_),
     .Y(net512),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1551_ (.A(_0355_),
-    .B(_0669_),
+ sky130_fd_sc_hd__nor2_4 _1475_ (.A(_0350_),
+    .B(_0304_),
     .Y(net475),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1552_ (.A(_0356_),
-    .B(_0669_),
+ sky130_fd_sc_hd__nor2_4 _1476_ (.A(_0351_),
+    .B(_0304_),
     .Y(net513),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1553_ (.A(_0357_),
-    .B(_0669_),
+ sky130_fd_sc_hd__clkbuf_2 _1477_ (.A(_0302_),
+    .X(_0631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _1478_ (.A(_0631_),
+    .X(_0632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_2 _1479_ (.A(_0352_),
+    .B(_0632_),
     .Y(net508),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1554_ (.A(\u_m_wb_stage.m_wbd_sel_i_ff[1] ),
-    .Y(_0670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1555_ (.A(_0309_),
-    .X(_0671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1556_ (.A(_0670_),
-    .B(_0671_),
+ sky130_fd_sc_hd__nor2_2 _1480_ (.A(_0353_),
+    .B(_0632_),
     .Y(net509),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1557_ (.A(\u_m_wb_stage.m_wbd_sel_i_ff[2] ),
-    .Y(_0672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1558_ (.A(_0672_),
-    .B(_0671_),
+ sky130_fd_sc_hd__nor2_2 _1481_ (.A(_0355_),
+    .B(_0632_),
     .Y(net510),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_16 _1559_ (.A(\u_m_wb_stage.m_wbd_sel_i_ff[3] ),
-    .Y(_0673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1560_ (.A(_0673_),
-    .B(_0671_),
+ sky130_fd_sc_hd__nor2_2 _1482_ (.A(_0356_),
+    .B(_0632_),
     .Y(net511),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1561_ (.A(_0358_),
-    .B(_0671_),
+ sky130_fd_sc_hd__clkbuf_4 _1483_ (.A(_0631_),
+    .X(_0633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _1484_ (.A(_0357_),
+    .B(_0633_),
     .Y(net465),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1562_ (.A(_0308_),
-    .X(_0674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1563_ (.A(_0674_),
-    .X(_0675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1564_ (.A(_0675_),
-    .X(_0676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1565_ (.A(_0360_),
-    .B(_0676_),
+ sky130_fd_sc_hd__nor2_4 _1485_ (.A(_0358_),
+    .B(_0633_),
     .Y(net468),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1566_ (.A(_0361_),
-    .B(_0676_),
+ sky130_fd_sc_hd__nor2_4 _1486_ (.A(_0361_),
+    .B(_0633_),
     .Y(net469),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1567_ (.A(_0362_),
-    .B(_0676_),
+ sky130_fd_sc_hd__nor2_4 _1487_ (.A(_0362_),
+    .B(_0633_),
     .Y(net470),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1568_ (.A(_0363_),
-    .B(_0676_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1488_ (.A(_0303_),
+    .X(_0634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1489_ (.A(_0634_),
+    .X(_0635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1490_ (.A(_0363_),
+    .B(_0635_),
     .Y(net471),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1569_ (.A(_0675_),
-    .X(_0677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1570_ (.A(_0366_),
-    .B(_0677_),
+ sky130_fd_sc_hd__nor2_1 _1491_ (.A(_0364_),
+    .B(_0635_),
     .Y(net472),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1571_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[8] ),
-    .Y(_0678_),
+ sky130_fd_sc_hd__inv_8 _1492_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[8] ),
+    .Y(_0636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1572_ (.A(_0678_),
-    .B(_0677_),
+ sky130_fd_sc_hd__nor2_1 _1493_ (.A(_0636_),
+    .B(_0635_),
     .Y(net473),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1573_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[9] ),
-    .Y(_0679_),
+ sky130_fd_sc_hd__inv_8 _1494_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[9] ),
+    .Y(_0637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1574_ (.A(_0679_),
-    .B(_0677_),
+ sky130_fd_sc_hd__nor2_1 _1495_ (.A(_0637_),
+    .B(_0635_),
     .Y(net474),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1575_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[10] ),
-    .Y(_0680_),
+ sky130_fd_sc_hd__inv_8 _1496_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[10] ),
+    .Y(_0638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1576_ (.A(_0680_),
-    .B(_0677_),
+ sky130_fd_sc_hd__clkbuf_1 _1497_ (.A(_0634_),
+    .X(_0639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1498_ (.A(_0638_),
+    .B(_0639_),
     .Y(net445),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1577_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[11] ),
-    .Y(_0681_),
+ sky130_fd_sc_hd__clkinv_8 _1499_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[11] ),
+    .Y(_0640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1578_ (.A(_0675_),
-    .X(_0682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1579_ (.A(_0681_),
-    .B(_0682_),
+ sky130_fd_sc_hd__nor2_1 _1500_ (.A(_0640_),
+    .B(_0639_),
     .Y(net446),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1580_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[12] ),
-    .Y(_0683_),
+ sky130_fd_sc_hd__clkinv_8 _1501_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[12] ),
+    .Y(_0641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1581_ (.A(_0683_),
-    .B(_0682_),
+ sky130_fd_sc_hd__nor2_1 _1502_ (.A(_0641_),
+    .B(_0639_),
     .Y(net447),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1582_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[13] ),
-    .Y(_0684_),
+ sky130_fd_sc_hd__clkinv_8 _1503_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[13] ),
+    .Y(_0642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1583_ (.A(_0684_),
-    .B(_0682_),
+ sky130_fd_sc_hd__nor2_1 _1504_ (.A(_0642_),
+    .B(_0639_),
     .Y(net448),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1584_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[14] ),
-    .Y(_0685_),
+ sky130_fd_sc_hd__clkinv_8 _1505_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[14] ),
+    .Y(_0643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1585_ (.A(_0685_),
-    .B(_0682_),
+ sky130_fd_sc_hd__clkbuf_1 _1506_ (.A(_0634_),
+    .X(_0644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1507_ (.A(_0643_),
+    .B(_0644_),
     .Y(net449),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1586_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[15] ),
-    .Y(_0686_),
+ sky130_fd_sc_hd__clkinv_8 _1508_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[15] ),
+    .Y(_0645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1587_ (.A(_0675_),
-    .X(_0687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1588_ (.A(_0686_),
-    .B(_0687_),
+ sky130_fd_sc_hd__nor2_1 _1509_ (.A(_0645_),
+    .B(_0644_),
     .Y(net450),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1589_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[16] ),
-    .Y(_0688_),
+ sky130_fd_sc_hd__clkinv_8 _1510_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[16] ),
+    .Y(_0646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1590_ (.A(_0688_),
-    .B(_0687_),
+ sky130_fd_sc_hd__nor2_1 _1511_ (.A(_0646_),
+    .B(_0644_),
     .Y(net451),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1591_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[17] ),
-    .Y(_0689_),
+ sky130_fd_sc_hd__clkinv_8 _1512_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[17] ),
+    .Y(_0647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1592_ (.A(_0689_),
-    .B(_0687_),
+ sky130_fd_sc_hd__nor2_1 _1513_ (.A(_0647_),
+    .B(_0644_),
     .Y(net452),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1593_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[18] ),
-    .Y(_0690_),
+ sky130_fd_sc_hd__inv_8 _1514_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[18] ),
+    .Y(_0648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1594_ (.A(_0690_),
-    .B(_0687_),
+ sky130_fd_sc_hd__clkbuf_1 _1515_ (.A(_0634_),
+    .X(_0649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1516_ (.A(_0648_),
+    .B(_0649_),
     .Y(net453),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1595_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[19] ),
-    .Y(_0691_),
+ sky130_fd_sc_hd__inv_6 _1517_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[19] ),
+    .Y(_0650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1596_ (.A(_0674_),
-    .X(_0692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1597_ (.A(_0692_),
-    .X(_0693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1598_ (.A(_0691_),
-    .B(_0693_),
+ sky130_fd_sc_hd__nor2_1 _1518_ (.A(_0650_),
+    .B(_0649_),
     .Y(net454),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1599_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[20] ),
-    .Y(_0694_),
+ sky130_fd_sc_hd__inv_6 _1519_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[20] ),
+    .Y(_0651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1600_ (.A(_0694_),
-    .B(_0693_),
+ sky130_fd_sc_hd__nor2_1 _1520_ (.A(_0651_),
+    .B(_0649_),
     .Y(net455),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1601_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[21] ),
-    .Y(_0695_),
+ sky130_fd_sc_hd__inv_6 _1521_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[21] ),
+    .Y(_0652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1602_ (.A(_0695_),
-    .B(_0693_),
+ sky130_fd_sc_hd__nor2_1 _1522_ (.A(_0652_),
+    .B(_0649_),
     .Y(net456),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1603_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[22] ),
-    .Y(_0696_),
+ sky130_fd_sc_hd__inv_4 _1523_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[22] ),
+    .Y(_0653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1604_ (.A(_0696_),
-    .B(_0693_),
+ sky130_fd_sc_hd__clkbuf_2 _1524_ (.A(_0303_),
+    .X(_0654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_4 _1525_ (.A(_0654_),
+    .X(_0655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _1526_ (.A(_0653_),
+    .B(_0655_),
     .Y(net457),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1605_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[23] ),
-    .Y(_0697_),
+ sky130_fd_sc_hd__clkinv_4 _1527_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[23] ),
+    .Y(_0656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1606_ (.A(_0692_),
-    .X(_0698_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1607_ (.A(_0697_),
-    .B(_0698_),
+ sky130_fd_sc_hd__nor2_4 _1528_ (.A(_0656_),
+    .B(_0655_),
     .Y(net458),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1608_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[24] ),
-    .Y(_0699_),
+ sky130_fd_sc_hd__clkinv_4 _1529_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[24] ),
+    .Y(_0657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1609_ (.A(_0699_),
-    .B(_0698_),
+ sky130_fd_sc_hd__nor2_4 _1530_ (.A(_0657_),
+    .B(_0655_),
     .Y(net459),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1610_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[25] ),
-    .Y(_0700_),
+ sky130_fd_sc_hd__inv_4 _1531_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[25] ),
+    .Y(_0658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1611_ (.A(_0700_),
-    .B(_0698_),
+ sky130_fd_sc_hd__nor2_4 _1532_ (.A(_0658_),
+    .B(_0655_),
     .Y(net460),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1612_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[26] ),
-    .Y(_0701_),
+ sky130_fd_sc_hd__inv_4 _1533_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[26] ),
+    .Y(_0659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1613_ (.A(_0701_),
-    .B(_0698_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1534_ (.A(_0654_),
+    .X(_0660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_2 _1535_ (.A(_0659_),
+    .B(_0660_),
     .Y(net461),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_6 _1614_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[27] ),
-    .Y(_0702_),
+ sky130_fd_sc_hd__inv_6 _1536_ (.A(\u_m_wb_stage.m_wbd_adr_i_ff[27] ),
+    .Y(_0661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1615_ (.A(_0692_),
-    .X(_0703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1616_ (.A(_0702_),
-    .B(_0703_),
+ sky130_fd_sc_hd__nor2_2 _1537_ (.A(_0661_),
+    .B(_0660_),
     .Y(net462),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1617_ (.A(_0311_),
-    .X(_0704_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1538_ (.A(_0306_),
+    .X(_0662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1618_ (.A(_0316_),
-    .X(_0705_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1539_ (.A(_0307_),
+    .X(_0663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_2 _1619_ (.A(_0704_),
-    .B(_0705_),
+ sky130_fd_sc_hd__and3_1 _1540_ (.A(_0662_),
+    .B(_0663_),
     .C(\u_m_wb_stage.m_wbd_adr_i_ff[28] ),
+    .X(_0664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _1541_ (.A(_0664_),
     .X(net463),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_2 _1620_ (.A(_0704_),
-    .B(_0705_),
+ sky130_fd_sc_hd__and3_1 _1542_ (.A(_0662_),
+    .B(_0663_),
     .C(\u_m_wb_stage.m_wbd_adr_i_ff[29] ),
+    .X(_0665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _1543_ (.A(_0665_),
     .X(net464),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_2 _1621_ (.A(_0704_),
-    .B(_0705_),
+ sky130_fd_sc_hd__and3_1 _1544_ (.A(_0662_),
+    .B(_0663_),
     .C(\u_m_wb_stage.m_wbd_adr_i_ff[30] ),
+    .X(_0666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_2 _1545_ (.A(_0666_),
     .X(net466),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_2 _1622_ (.A(_0704_),
-    .B(_0705_),
+ sky130_fd_sc_hd__and3_1 _1546_ (.A(_0662_),
+    .B(_0663_),
     .C(\u_m_wb_stage.m_wbd_adr_i_ff[31] ),
+    .X(_0667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 _1547_ (.A(_0667_),
     .X(net467),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1623_ (.A(_0367_),
-    .B(_0703_),
+ sky130_fd_sc_hd__nor2_1 _1548_ (.A(_0366_),
+    .B(_0660_),
     .Y(net476),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1624_ (.A(_0368_),
-    .B(_0703_),
+ sky130_fd_sc_hd__nor2_1 _1549_ (.A(_0367_),
+    .B(_0660_),
     .Y(net487),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1625_ (.A(_0369_),
-    .B(_0703_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1550_ (.A(_0654_),
+    .X(_0668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1551_ (.A(_0368_),
+    .B(_0668_),
     .Y(net498),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1626_ (.A(_0692_),
-    .X(_0706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1627_ (.A(_0371_),
-    .B(_0706_),
+ sky130_fd_sc_hd__nor2_1 _1552_ (.A(_0369_),
+    .B(_0668_),
     .Y(net501),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1628_ (.A(_0372_),
-    .B(_0706_),
+ sky130_fd_sc_hd__nor2_1 _1553_ (.A(_0371_),
+    .B(_0668_),
     .Y(net502),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1629_ (.A(_0373_),
-    .B(_0706_),
+ sky130_fd_sc_hd__nor2_1 _1554_ (.A(_0372_),
+    .B(_0668_),
     .Y(net503),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1630_ (.A(_0374_),
-    .B(_0706_),
+ sky130_fd_sc_hd__buf_4 _1555_ (.A(_0654_),
+    .X(_0669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_2 _1556_ (.A(_0373_),
+    .B(_0669_),
     .Y(net504),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1631_ (.A(_0308_),
-    .X(_0707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1632_ (.A(_0707_),
-    .X(_0708_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1633_ (.A(_0376_),
-    .B(_0708_),
+ sky130_fd_sc_hd__nor2_4 _1557_ (.A(_0374_),
+    .B(_0669_),
     .Y(net505),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1634_ (.A(_0377_),
-    .B(_0708_),
+ sky130_fd_sc_hd__nor2_4 _1558_ (.A(_0376_),
+    .B(_0669_),
     .Y(net506),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1635_ (.A(_0378_),
-    .B(_0708_),
+ sky130_fd_sc_hd__nor2_4 _1559_ (.A(_0377_),
+    .B(_0669_),
     .Y(net507),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1636_ (.A(_0379_),
-    .B(_0708_),
+ sky130_fd_sc_hd__buf_2 _1560_ (.A(_0302_),
+    .X(_0670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_6 _1561_ (.A(_0670_),
+    .X(_0671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_8 _1562_ (.A(_0378_),
+    .B(_0671_),
     .Y(net477),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1637_ (.A(_0707_),
-    .X(_0709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1638_ (.A(_0381_),
-    .B(_0709_),
+ sky130_fd_sc_hd__nor2_8 _1563_ (.A(_0379_),
+    .B(_0671_),
     .Y(net478),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1639_ (.A(_0382_),
-    .B(_0709_),
+ sky130_fd_sc_hd__nor2_8 _1564_ (.A(_0382_),
+    .B(_0671_),
     .Y(net479),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1640_ (.A(_0383_),
-    .B(_0709_),
+ sky130_fd_sc_hd__nor2_8 _1565_ (.A(_0383_),
+    .B(_0671_),
     .Y(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1641_ (.A(_0384_),
-    .B(_0709_),
+ sky130_fd_sc_hd__buf_6 _1566_ (.A(_0670_),
+    .X(_0672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_8 _1567_ (.A(_0384_),
+    .B(_0672_),
     .Y(net481),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1642_ (.A(_0707_),
-    .X(_0710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1643_ (.A(_0326_),
-    .B(_0710_),
+ sky130_fd_sc_hd__nor2_8 _1568_ (.A(_0321_),
+    .B(_0672_),
     .Y(net482),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1644_ (.A(_0328_),
-    .B(_0710_),
+ sky130_fd_sc_hd__nor2_8 _1569_ (.A(_0327_),
+    .B(_0672_),
     .Y(net483),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1645_ (.A(_0329_),
-    .B(_0710_),
+ sky130_fd_sc_hd__nor2_8 _1570_ (.A(_0328_),
+    .B(_0672_),
     .Y(net484),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1646_ (.A(_0330_),
-    .B(_0710_),
+ sky130_fd_sc_hd__clkbuf_4 _1571_ (.A(_0670_),
+    .X(_0673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _1572_ (.A(_0329_),
+    .B(_0673_),
     .Y(net485),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1647_ (.A(_0707_),
-    .X(_0711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1648_ (.A(_0331_),
-    .B(_0711_),
+ sky130_fd_sc_hd__nor2_4 _1573_ (.A(_0330_),
+    .B(_0673_),
     .Y(net486),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1649_ (.A(_0333_),
-    .B(_0711_),
+ sky130_fd_sc_hd__nor2_4 _1574_ (.A(_0332_),
+    .B(_0673_),
     .Y(net488),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1650_ (.A(_0334_),
-    .B(_0711_),
+ sky130_fd_sc_hd__nor2_4 _1575_ (.A(_0333_),
+    .B(_0673_),
     .Y(net489),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1651_ (.A(_0335_),
-    .B(_0711_),
+ sky130_fd_sc_hd__clkbuf_4 _1576_ (.A(_0670_),
+    .X(_0674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _1577_ (.A(_0334_),
+    .B(_0674_),
     .Y(net490),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1652_ (.A(_0674_),
-    .X(_0712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1653_ (.A(_0336_),
-    .B(_0712_),
+ sky130_fd_sc_hd__nor2_4 _1578_ (.A(_0335_),
+    .B(_0674_),
     .Y(net491),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1654_ (.A(_0339_),
-    .B(_0712_),
+ sky130_fd_sc_hd__nor2_4 _1579_ (.A(_0337_),
+    .B(_0674_),
     .Y(net492),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1655_ (.A(_0340_),
-    .B(_0712_),
+ sky130_fd_sc_hd__nor2_4 _1580_ (.A(_0338_),
+    .B(_0674_),
     .Y(net493),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1656_ (.A(_0341_),
-    .B(_0712_),
+ sky130_fd_sc_hd__clkbuf_2 _1581_ (.A(_0303_),
+    .X(_0675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_2 _1582_ (.A(_0339_),
+    .B(_0675_),
     .Y(net494),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1657_ (.A(_0674_),
-    .X(_0713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1658_ (.A(_0342_),
-    .B(_0713_),
+ sky130_fd_sc_hd__nor2_2 _1583_ (.A(_0340_),
+    .B(_0675_),
     .Y(net495),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1659_ (.A(_0344_),
-    .B(_0713_),
+ sky130_fd_sc_hd__nor2_2 _1584_ (.A(_0343_),
+    .B(_0675_),
     .Y(net496),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1660_ (.A(_0345_),
-    .B(_0713_),
+ sky130_fd_sc_hd__nor2_2 _1585_ (.A(_0344_),
+    .B(_0675_),
     .Y(net497),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1661_ (.A(_0346_),
-    .B(_0713_),
+ sky130_fd_sc_hd__nor2_2 _1586_ (.A(_0345_),
+    .B(_0631_),
     .Y(net499),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1662_ (.A(_0347_),
-    .B(_0309_),
+ sky130_fd_sc_hd__nor2_4 _1587_ (.A(_0346_),
+    .B(_0631_),
     .Y(net500),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _1663_ (.A(_0320_),
-    .X(_0714_),
+ sky130_fd_sc_hd__buf_4 _1588_ (.A(_0314_),
+    .X(_0676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1664_ (.A(_0349_),
-    .B(_0714_),
+ sky130_fd_sc_hd__nor2_2 _1589_ (.A(_0348_),
+    .B(_0676_),
     .Y(net577),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1665_ (.A(_0355_),
-    .B(_0714_),
+ sky130_fd_sc_hd__nor2_1 _1590_ (.A(_0350_),
+    .B(_0676_),
     .Y(net540),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1666_ (.A(_0356_),
-    .B(_0714_),
+ sky130_fd_sc_hd__nor2_2 _1591_ (.A(_0351_),
+    .B(_0676_),
     .Y(net578),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1667_ (.A(_0357_),
-    .B(_0714_),
+ sky130_fd_sc_hd__nor2_1 _1592_ (.A(_0352_),
+    .B(_0676_),
     .Y(net573),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1668_ (.A(_0320_),
-    .X(_0715_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1593_ (.A(_0314_),
+    .X(_0677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1669_ (.A(_0670_),
-    .B(_0715_),
+ sky130_fd_sc_hd__nor2_1 _1594_ (.A(_0353_),
+    .B(_0677_),
     .Y(net574),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1670_ (.A(_0672_),
-    .B(_0715_),
+ sky130_fd_sc_hd__nor2_1 _1595_ (.A(_0355_),
+    .B(_0677_),
     .Y(net575),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1671_ (.A(_0673_),
-    .B(_0715_),
+ sky130_fd_sc_hd__nor2_1 _1596_ (.A(_0356_),
+    .B(_0677_),
     .Y(net576),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1672_ (.A(_0358_),
-    .B(_0715_),
+ sky130_fd_sc_hd__nor2_1 _1597_ (.A(_0357_),
+    .B(_0677_),
     .Y(net532),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1673_ (.A(_0663_),
-    .X(_0716_),
+ sky130_fd_sc_hd__clkbuf_4 _1598_ (.A(_0625_),
+    .X(_0678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1674_ (.A(_0716_),
-    .X(_0717_),
+ sky130_fd_sc_hd__clkbuf_2 _1599_ (.A(_0678_),
+    .X(_0679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1675_ (.A(_0360_),
-    .B(_0717_),
+ sky130_fd_sc_hd__nor2_2 _1600_ (.A(_0358_),
+    .B(_0679_),
     .Y(net533),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1676_ (.A(_0361_),
-    .B(_0717_),
+ sky130_fd_sc_hd__nor2_2 _1601_ (.A(_0361_),
+    .B(_0679_),
     .Y(net534),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1677_ (.A(_0362_),
-    .B(_0717_),
+ sky130_fd_sc_hd__nor2_2 _1602_ (.A(_0362_),
+    .B(_0679_),
     .Y(net535),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1678_ (.A(_0363_),
-    .B(_0717_),
+ sky130_fd_sc_hd__nor2_2 _1603_ (.A(_0363_),
+    .B(_0679_),
     .Y(net536),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1679_ (.A(_0716_),
-    .X(_0718_),
+ sky130_fd_sc_hd__clkbuf_2 _1604_ (.A(_0678_),
+    .X(_0680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1680_ (.A(_0366_),
-    .B(_0718_),
+ sky130_fd_sc_hd__nor2_2 _1605_ (.A(_0364_),
+    .B(_0680_),
     .Y(net537),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1681_ (.A(_0678_),
-    .B(_0718_),
+ sky130_fd_sc_hd__nor2_2 _1606_ (.A(_0636_),
+    .B(_0680_),
     .Y(net538),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1682_ (.A(_0679_),
-    .B(_0718_),
+ sky130_fd_sc_hd__nor2_2 _1607_ (.A(_0637_),
+    .B(_0680_),
     .Y(net539),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1683_ (.A(_0680_),
-    .B(_0718_),
+ sky130_fd_sc_hd__nor2_2 _1608_ (.A(_0638_),
+    .B(_0680_),
     .Y(net514),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1684_ (.A(_0716_),
-    .X(_0719_),
+ sky130_fd_sc_hd__clkbuf_4 _1609_ (.A(_0678_),
+    .X(_0681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1685_ (.A(_0681_),
-    .B(_0719_),
+ sky130_fd_sc_hd__nor2_4 _1610_ (.A(_0640_),
+    .B(_0681_),
     .Y(net515),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1686_ (.A(_0683_),
-    .B(_0719_),
+ sky130_fd_sc_hd__nor2_4 _1611_ (.A(_0641_),
+    .B(_0681_),
     .Y(net516),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1687_ (.A(_0684_),
-    .B(_0719_),
+ sky130_fd_sc_hd__nor2_4 _1612_ (.A(_0642_),
+    .B(_0681_),
     .Y(net517),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1688_ (.A(_0685_),
-    .B(_0719_),
+ sky130_fd_sc_hd__nor2_4 _1613_ (.A(_0643_),
+    .B(_0681_),
     .Y(net518),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1689_ (.A(_0716_),
-    .X(_0720_),
+ sky130_fd_sc_hd__clkbuf_4 _1614_ (.A(_0678_),
+    .X(_0682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1690_ (.A(_0686_),
-    .B(_0720_),
+ sky130_fd_sc_hd__nor2_4 _1615_ (.A(_0645_),
+    .B(_0682_),
     .Y(net519),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1691_ (.A(_0688_),
-    .B(_0720_),
+ sky130_fd_sc_hd__nor2_4 _1616_ (.A(_0646_),
+    .B(_0682_),
     .Y(net520),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1692_ (.A(_0689_),
-    .B(_0720_),
+ sky130_fd_sc_hd__nor2_4 _1617_ (.A(_0647_),
+    .B(_0682_),
     .Y(net521),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1693_ (.A(_0690_),
-    .B(_0720_),
+ sky130_fd_sc_hd__nor2_4 _1618_ (.A(_0648_),
+    .B(_0682_),
     .Y(net522),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1694_ (.A(_0663_),
-    .X(_0721_),
+ sky130_fd_sc_hd__buf_4 _1619_ (.A(_0625_),
+    .X(_0683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1695_ (.A(_0721_),
-    .X(_0722_),
+ sky130_fd_sc_hd__clkbuf_4 _1620_ (.A(_0683_),
+    .X(_0684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1696_ (.A(_0691_),
-    .B(_0722_),
+ sky130_fd_sc_hd__nor2_4 _1621_ (.A(_0650_),
+    .B(_0684_),
     .Y(net523),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1697_ (.A(_0694_),
-    .B(_0722_),
+ sky130_fd_sc_hd__nor2_4 _1622_ (.A(_0651_),
+    .B(_0684_),
     .Y(net524),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1698_ (.A(_0695_),
-    .B(_0722_),
+ sky130_fd_sc_hd__nor2_4 _1623_ (.A(_0652_),
+    .B(_0684_),
     .Y(net525),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _1699_ (.A(_0696_),
-    .B(_0722_),
+ sky130_fd_sc_hd__nor2_4 _1624_ (.A(_0653_),
+    .B(_0684_),
     .Y(net526),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 _1700_ (.A(_0721_),
-    .X(_0723_),
+ sky130_fd_sc_hd__clkbuf_4 _1625_ (.A(_0683_),
+    .X(_0685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1701_ (.A(_0697_),
-    .B(_0723_),
+ sky130_fd_sc_hd__nor2_4 _1626_ (.A(_0656_),
+    .B(_0685_),
     .Y(net527),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1702_ (.A(_0699_),
-    .B(_0723_),
+ sky130_fd_sc_hd__nor2_4 _1627_ (.A(_0657_),
+    .B(_0685_),
     .Y(net528),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1703_ (.A(_0700_),
-    .B(_0723_),
+ sky130_fd_sc_hd__nor2_4 _1628_ (.A(_0658_),
+    .B(_0685_),
     .Y(net529),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1704_ (.A(_0701_),
-    .B(_0723_),
+ sky130_fd_sc_hd__nor2_4 _1629_ (.A(_0659_),
+    .B(_0685_),
     .Y(net530),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _1705_ (.A(_0721_),
-    .X(_0724_),
+ sky130_fd_sc_hd__buf_4 _1630_ (.A(_0683_),
+    .X(_0686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1706_ (.A(_0702_),
-    .B(_0724_),
+ sky130_fd_sc_hd__nor2_2 _1631_ (.A(_0661_),
+    .B(_0686_),
     .Y(net531),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1707_ (.A(_0367_),
-    .B(_0724_),
+ sky130_fd_sc_hd__nor2_1 _1632_ (.A(_0366_),
+    .B(_0686_),
     .Y(net541),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1708_ (.A(_0368_),
-    .B(_0724_),
+ sky130_fd_sc_hd__nor2_1 _1633_ (.A(_0367_),
+    .B(_0686_),
     .Y(net552),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1709_ (.A(_0369_),
-    .B(_0724_),
+ sky130_fd_sc_hd__nor2_1 _1634_ (.A(_0368_),
+    .B(_0686_),
     .Y(net563),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 _1710_ (.A(_0721_),
-    .X(_0725_),
+ sky130_fd_sc_hd__buf_2 _1635_ (.A(_0683_),
+    .X(_0687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1711_ (.A(_0371_),
-    .B(_0725_),
+ sky130_fd_sc_hd__nor2_1 _1636_ (.A(_0369_),
+    .B(_0687_),
     .Y(net566),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1712_ (.A(_0372_),
-    .B(_0725_),
+ sky130_fd_sc_hd__nor2_1 _1637_ (.A(_0371_),
+    .B(_0687_),
     .Y(net567),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1713_ (.A(_0373_),
-    .B(_0725_),
+ sky130_fd_sc_hd__nor2_1 _1638_ (.A(_0372_),
+    .B(_0687_),
     .Y(net568),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1714_ (.A(_0374_),
-    .B(_0725_),
+ sky130_fd_sc_hd__nor2_1 _1639_ (.A(_0373_),
+    .B(_0687_),
     .Y(net569),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1715_ (.A(_0319_),
-    .X(_0726_),
+ sky130_fd_sc_hd__clkbuf_1 _1640_ (.A(_0479_),
+    .X(_0688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1716_ (.A(_0726_),
-    .X(_0727_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1641_ (.A(_0688_),
+    .X(_0689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1717_ (.A(_0376_),
-    .B(_0727_),
+ sky130_fd_sc_hd__nor2_1 _1642_ (.A(_0374_),
+    .B(_0689_),
     .Y(net570),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1718_ (.A(_0377_),
-    .B(_0727_),
+ sky130_fd_sc_hd__nor2_1 _1643_ (.A(_0376_),
+    .B(_0689_),
     .Y(net571),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1719_ (.A(_0378_),
-    .B(_0727_),
+ sky130_fd_sc_hd__nor2_1 _1644_ (.A(_0377_),
+    .B(_0689_),
     .Y(net572),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1720_ (.A(_0379_),
-    .B(_0727_),
+ sky130_fd_sc_hd__nor2_1 _1645_ (.A(_0378_),
+    .B(_0689_),
     .Y(net542),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1721_ (.A(_0726_),
-    .X(_0728_),
+ sky130_fd_sc_hd__clkbuf_1 _1646_ (.A(_0688_),
+    .X(_0690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1722_ (.A(_0381_),
-    .B(_0728_),
+ sky130_fd_sc_hd__nor2_1 _1647_ (.A(_0379_),
+    .B(_0690_),
     .Y(net543),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1723_ (.A(_0382_),
-    .B(_0728_),
+ sky130_fd_sc_hd__nor2_1 _1648_ (.A(_0382_),
+    .B(_0690_),
     .Y(net544),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1724_ (.A(_0383_),
-    .B(_0728_),
+ sky130_fd_sc_hd__nor2_1 _1649_ (.A(_0383_),
+    .B(_0690_),
     .Y(net545),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1725_ (.A(_0384_),
-    .B(_0728_),
+ sky130_fd_sc_hd__nor2_1 _1650_ (.A(_0384_),
+    .B(_0690_),
     .Y(net546),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1726_ (.A(_0726_),
-    .X(_0729_),
+ sky130_fd_sc_hd__clkbuf_1 _1651_ (.A(_0688_),
+    .X(_0691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1727_ (.A(_0326_),
-    .B(_0729_),
+ sky130_fd_sc_hd__nor2_1 _1652_ (.A(_0321_),
+    .B(_0691_),
     .Y(net547),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1728_ (.A(_0328_),
-    .B(_0729_),
+ sky130_fd_sc_hd__nor2_1 _1653_ (.A(_0327_),
+    .B(_0691_),
     .Y(net548),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1729_ (.A(_0329_),
-    .B(_0729_),
+ sky130_fd_sc_hd__nor2_1 _1654_ (.A(_0328_),
+    .B(_0691_),
     .Y(net549),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1730_ (.A(_0330_),
-    .B(_0729_),
+ sky130_fd_sc_hd__nor2_1 _1655_ (.A(_0329_),
+    .B(_0691_),
     .Y(net550),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1731_ (.A(_0726_),
-    .X(_0730_),
+ sky130_fd_sc_hd__clkbuf_1 _1656_ (.A(_0688_),
+    .X(_0692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1732_ (.A(_0331_),
-    .B(_0730_),
+ sky130_fd_sc_hd__nor2_1 _1657_ (.A(_0330_),
+    .B(_0692_),
     .Y(net551),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1733_ (.A(_0333_),
-    .B(_0730_),
+ sky130_fd_sc_hd__nor2_1 _1658_ (.A(_0332_),
+    .B(_0692_),
     .Y(net553),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1734_ (.A(_0334_),
-    .B(_0730_),
+ sky130_fd_sc_hd__nor2_1 _1659_ (.A(_0333_),
+    .B(_0692_),
     .Y(net554),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1735_ (.A(_0335_),
-    .B(_0730_),
+ sky130_fd_sc_hd__nor2_1 _1660_ (.A(_0334_),
+    .B(_0692_),
     .Y(net555),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1736_ (.A(_0517_),
-    .X(_0731_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1661_ (.A(_0480_),
+    .X(_0693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1737_ (.A(_0336_),
-    .B(_0731_),
+ sky130_fd_sc_hd__nor2_1 _1662_ (.A(_0335_),
+    .B(_0693_),
     .Y(net556),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1738_ (.A(_0339_),
-    .B(_0731_),
+ sky130_fd_sc_hd__nor2_1 _1663_ (.A(_0337_),
+    .B(_0693_),
     .Y(net557),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1739_ (.A(_0340_),
-    .B(_0731_),
+ sky130_fd_sc_hd__nor2_1 _1664_ (.A(_0338_),
+    .B(_0693_),
     .Y(net558),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1740_ (.A(_0341_),
-    .B(_0731_),
+ sky130_fd_sc_hd__nor2_1 _1665_ (.A(_0339_),
+    .B(_0693_),
     .Y(net559),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1741_ (.A(_0517_),
-    .X(_0732_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1666_ (.A(_0480_),
+    .X(_0694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1742_ (.A(_0342_),
-    .B(_0732_),
+ sky130_fd_sc_hd__nor2_1 _1667_ (.A(_0340_),
+    .B(_0694_),
     .Y(net560),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1743_ (.A(_0344_),
-    .B(_0732_),
+ sky130_fd_sc_hd__nor2_1 _1668_ (.A(_0343_),
+    .B(_0694_),
     .Y(net561),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1744_ (.A(_0345_),
-    .B(_0732_),
+ sky130_fd_sc_hd__nor2_1 _1669_ (.A(_0344_),
+    .B(_0694_),
     .Y(net562),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1745_ (.A(_0346_),
-    .B(_0732_),
+ sky130_fd_sc_hd__nor2_1 _1670_ (.A(_0345_),
+    .B(_0694_),
     .Y(net564),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1746_ (.A(_0347_),
-    .B(_0320_),
+ sky130_fd_sc_hd__nor2_1 _1671_ (.A(_0346_),
+    .B(_0314_),
     .Y(net565),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1747_ (.A(_0349_),
-    .B(_0348_),
+ sky130_fd_sc_hd__nor2_2 _1672_ (.A(_0348_),
+    .B(_0347_),
     .Y(net622),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1748_ (.A(_0355_),
-    .B(_0348_),
+ sky130_fd_sc_hd__nor2_2 _1673_ (.A(_0350_),
+    .B(_0347_),
     .Y(net585),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1749_ (.A(_0356_),
-    .B(_0348_),
+ sky130_fd_sc_hd__nor2_2 _1674_ (.A(_0351_),
+    .B(_0347_),
     .Y(net623),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1750_ (.A(_0337_),
-    .X(_0733_),
+ sky130_fd_sc_hd__clkbuf_1 _1675_ (.A(_0341_),
+    .X(_0695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1751_ (.A(_0357_),
-    .B(_0733_),
+ sky130_fd_sc_hd__nor2_1 _1676_ (.A(_0352_),
+    .B(_0695_),
     .Y(net618),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1752_ (.A(_0670_),
-    .B(_0733_),
+ sky130_fd_sc_hd__nor2_1 _1677_ (.A(_0353_),
+    .B(_0695_),
     .Y(net619),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1753_ (.A(_0672_),
-    .B(_0733_),
+ sky130_fd_sc_hd__nor2_1 _1678_ (.A(_0355_),
+    .B(_0695_),
     .Y(net620),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_2 _1754_ (.A(_0673_),
-    .B(_0733_),
+ sky130_fd_sc_hd__nor2_1 _1679_ (.A(_0356_),
+    .B(_0695_),
     .Y(net621),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _1755_ (.A(_0313_),
-    .X(_0734_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1680_ (.A(_0341_),
+    .X(_0696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1756_ (.A(_0734_),
-    .X(_0735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1757_ (.A(_0358_),
-    .B(_0735_),
+ sky130_fd_sc_hd__nor2_1 _1681_ (.A(_0357_),
+    .B(_0696_),
     .Y(net579),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1758_ (.A(_0360_),
-    .B(_0735_),
+ sky130_fd_sc_hd__nor2_1 _1682_ (.A(_0358_),
+    .B(_0696_),
     .Y(net580),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1759_ (.A(_0361_),
-    .B(_0735_),
+ sky130_fd_sc_hd__nor2_1 _1683_ (.A(_0361_),
+    .B(_0696_),
     .Y(net581),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1760_ (.A(_0362_),
-    .B(_0735_),
+ sky130_fd_sc_hd__nor2_1 _1684_ (.A(_0362_),
+    .B(_0696_),
     .Y(net582),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _1761_ (.A(_0734_),
-    .X(_0736_),
+ sky130_fd_sc_hd__clkbuf_1 _1685_ (.A(_0324_),
+    .X(_0697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1762_ (.A(_0363_),
-    .B(_0736_),
+ sky130_fd_sc_hd__buf_2 _1686_ (.A(_0697_),
+    .X(_0698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_1 _1687_ (.A(_0363_),
+    .B(_0698_),
     .Y(net583),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1763_ (.A(_0366_),
-    .B(_0736_),
+ sky130_fd_sc_hd__nor2_1 _1688_ (.A(_0364_),
+    .B(_0698_),
     .Y(net584),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1764_ (.A(_0367_),
-    .B(_0736_),
+ sky130_fd_sc_hd__nor2_1 _1689_ (.A(_0366_),
+    .B(_0698_),
     .Y(net586),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1765_ (.A(_0368_),
-    .B(_0736_),
+ sky130_fd_sc_hd__nor2_1 _1690_ (.A(_0367_),
+    .B(_0698_),
     .Y(net597),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 _1766_ (.A(_0734_),
-    .X(_0737_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1691_ (.A(_0697_),
+    .X(_0699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1767_ (.A(_0369_),
-    .B(_0737_),
+ sky130_fd_sc_hd__nor2_1 _1692_ (.A(_0368_),
+    .B(_0699_),
     .Y(net608),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1768_ (.A(_0371_),
-    .B(_0737_),
+ sky130_fd_sc_hd__nor2_1 _1693_ (.A(_0369_),
+    .B(_0699_),
     .Y(net611),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1769_ (.A(_0372_),
-    .B(_0737_),
+ sky130_fd_sc_hd__nor2_1 _1694_ (.A(_0371_),
+    .B(_0699_),
     .Y(net612),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1770_ (.A(_0373_),
-    .B(_0737_),
+ sky130_fd_sc_hd__nor2_1 _1695_ (.A(_0372_),
+    .B(_0699_),
     .Y(net613),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 _1771_ (.A(_0734_),
-    .X(_0738_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _1696_ (.A(_0697_),
+    .X(_0700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1772_ (.A(_0374_),
-    .B(_0738_),
+ sky130_fd_sc_hd__nor2_1 _1697_ (.A(_0373_),
+    .B(_0700_),
     .Y(net614),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1773_ (.A(_0376_),
-    .B(_0738_),
+ sky130_fd_sc_hd__nor2_1 _1698_ (.A(_0374_),
+    .B(_0700_),
     .Y(net615),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1774_ (.A(_0377_),
-    .B(_0738_),
+ sky130_fd_sc_hd__nor2_1 _1699_ (.A(_0376_),
+    .B(_0700_),
     .Y(net616),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1775_ (.A(_0378_),
-    .B(_0738_),
+ sky130_fd_sc_hd__nor2_1 _1700_ (.A(_0377_),
+    .B(_0700_),
     .Y(net617),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 _1776_ (.A(_0529_),
-    .X(_0739_),
+ sky130_fd_sc_hd__clkbuf_1 _1701_ (.A(_0697_),
+    .X(_0701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1777_ (.A(_0379_),
-    .B(_0739_),
+ sky130_fd_sc_hd__nor2_1 _1702_ (.A(_0378_),
+    .B(_0701_),
     .Y(net587),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1778_ (.A(_0381_),
-    .B(_0739_),
+ sky130_fd_sc_hd__nor2_1 _1703_ (.A(_0379_),
+    .B(_0701_),
     .Y(net588),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1779_ (.A(_0382_),
-    .B(_0739_),
+ sky130_fd_sc_hd__nor2_1 _1704_ (.A(_0382_),
+    .B(_0701_),
     .Y(net589),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_1 _1780_ (.A(_0383_),
-    .B(_0739_),
+ sky130_fd_sc_hd__nor2_1 _1705_ (.A(_0383_),
+    .B(_0701_),
     .Y(net590),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_8 _1781_ (.A(_0384_),
-    .B(_0513_),
+ sky130_fd_sc_hd__nor2_1 _1706_ (.A(_0384_),
+    .B(_0325_),
     .Y(net591),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1782_ (.A1(_0488_),
+ sky130_fd_sc_hd__a22o_1 _1707_ (.A1(\u_wb_arb.state[2] ),
     .A2(net142),
-    .B1(_0489_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net211),
     .X(_0173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_4 _1783_ (.A1(_0450_),
+ sky130_fd_sc_hd__a22o_4 _1708_ (.A1(\u_wb_arb.state[2] ),
     .A2(net104),
-    .B1(_0452_),
+    .B1(\u_wb_arb.state[1] ),
     .B2(net173),
     .X(_0175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22o_1 _1784_ (.A1(_0066_),
-    .A2(_0751_),
+ sky130_fd_sc_hd__a22o_1 _1709_ (.A1(_0066_),
+    .A2(_0280_),
     .B1(\u_m_wb_stage.m_wbd_dat_i_ff[31] ),
-    .B2(_0749_),
+    .B2(_0710_),
     .X(_0256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1785_ (.LO(m0_wbd_err_o),
+ sky130_fd_sc_hd__conb_1 _1710_ (.LO(m0_wbd_err_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1786_ (.LO(m1_wbd_err_o),
+ sky130_fd_sc_hd__conb_1 _1711_ (.LO(m1_wbd_err_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1787_ (.LO(m2_wbd_err_o),
+ sky130_fd_sc_hd__conb_1 _1712_ (.LO(m2_wbd_err_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1788_ (.LO(s0_wbd_adr_o[0]),
+ sky130_fd_sc_hd__conb_1 _1713_ (.LO(s0_wbd_adr_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1789_ (.LO(s0_wbd_adr_o[1]),
+ sky130_fd_sc_hd__conb_1 _1714_ (.LO(s0_wbd_adr_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1790_ (.LO(s1_wbd_adr_o[0]),
+ sky130_fd_sc_hd__conb_1 _1715_ (.LO(s1_wbd_adr_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1791_ (.LO(s1_wbd_adr_o[1]),
+ sky130_fd_sc_hd__conb_1 _1716_ (.LO(s1_wbd_adr_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1792_ (.LO(s1_wbd_adr_o[28]),
+ sky130_fd_sc_hd__conb_1 _1717_ (.LO(s1_wbd_adr_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1793_ (.LO(s1_wbd_adr_o[29]),
+ sky130_fd_sc_hd__conb_1 _1718_ (.LO(s1_wbd_adr_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1794_ (.LO(s1_wbd_adr_o[30]),
+ sky130_fd_sc_hd__conb_1 _1719_ (.LO(s1_wbd_adr_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1795_ (.LO(s1_wbd_adr_o[31]),
+ sky130_fd_sc_hd__conb_1 _1720_ (.LO(s1_wbd_adr_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1796_ (.LO(s2_wbd_adr_o[0]),
+ sky130_fd_sc_hd__conb_1 _1721_ (.LO(s2_wbd_adr_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1797_ (.LO(s2_wbd_adr_o[1]),
+ sky130_fd_sc_hd__conb_1 _1722_ (.LO(s2_wbd_adr_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1798_ (.LO(s3_wbd_adr_o[0]),
+ sky130_fd_sc_hd__conb_1 _1723_ (.LO(s3_wbd_adr_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _1799_ (.LO(s3_wbd_adr_o[1]),
+ sky130_fd_sc_hd__conb_1 _1724_ (.LO(s3_wbd_adr_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1800_ (.A0(_0141_),
+ sky130_fd_sc_hd__mux2_1 _1725_ (.A0(_0141_),
     .A1(net214),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[0] ),
@@ -126567,7 +125882,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1801_ (.A0(_0142_),
+ sky130_fd_sc_hd__mux2_1 _1726_ (.A0(_0142_),
     .A1(net225),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[1] ),
@@ -126575,7 +125890,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1802_ (.A0(_0143_),
+ sky130_fd_sc_hd__mux2_1 _1727_ (.A0(_0143_),
     .A1(net236),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[2] ),
@@ -126583,7 +125898,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1803_ (.A0(_0144_),
+ sky130_fd_sc_hd__mux2_1 _1728_ (.A0(_0144_),
     .A1(net239),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[3] ),
@@ -126591,7 +125906,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1804_ (.A0(_0145_),
+ sky130_fd_sc_hd__mux2_1 _1729_ (.A0(_0145_),
     .A1(net240),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[4] ),
@@ -126599,7 +125914,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1805_ (.A0(_0146_),
+ sky130_fd_sc_hd__mux2_1 _1730_ (.A0(_0146_),
     .A1(net241),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[5] ),
@@ -126607,7 +125922,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1806_ (.A0(_0147_),
+ sky130_fd_sc_hd__mux2_1 _1731_ (.A0(_0147_),
     .A1(net242),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[6] ),
@@ -126615,7 +125930,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1807_ (.A0(_0148_),
+ sky130_fd_sc_hd__mux2_1 _1732_ (.A0(_0148_),
     .A1(net243),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[7] ),
@@ -126623,7 +125938,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1808_ (.A0(_0149_),
+ sky130_fd_sc_hd__mux2_1 _1733_ (.A0(_0149_),
     .A1(net244),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[8] ),
@@ -126631,7 +125946,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1809_ (.A0(_0150_),
+ sky130_fd_sc_hd__mux2_1 _1734_ (.A0(_0150_),
     .A1(net245),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[9] ),
@@ -126639,7 +125954,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1810_ (.A0(_0151_),
+ sky130_fd_sc_hd__mux2_1 _1735_ (.A0(_0151_),
     .A1(net215),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[10] ),
@@ -126647,7 +125962,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1811_ (.A0(_0152_),
+ sky130_fd_sc_hd__mux2_1 _1736_ (.A0(_0152_),
     .A1(net216),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[11] ),
@@ -126655,7 +125970,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1812_ (.A0(_0153_),
+ sky130_fd_sc_hd__mux2_1 _1737_ (.A0(_0153_),
     .A1(net217),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[12] ),
@@ -126663,7 +125978,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1813_ (.A0(_0154_),
+ sky130_fd_sc_hd__mux2_1 _1738_ (.A0(_0154_),
     .A1(net218),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[13] ),
@@ -126671,7 +125986,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1814_ (.A0(_0155_),
+ sky130_fd_sc_hd__mux2_1 _1739_ (.A0(_0155_),
     .A1(net219),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[14] ),
@@ -126679,7 +125994,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1815_ (.A0(_0156_),
+ sky130_fd_sc_hd__mux2_1 _1740_ (.A0(_0156_),
     .A1(net220),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[15] ),
@@ -126687,7 +126002,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1816_ (.A0(_0157_),
+ sky130_fd_sc_hd__mux2_1 _1741_ (.A0(_0157_),
     .A1(net221),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[16] ),
@@ -126695,7 +126010,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1817_ (.A0(_0158_),
+ sky130_fd_sc_hd__mux2_1 _1742_ (.A0(_0158_),
     .A1(net222),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[17] ),
@@ -126703,7 +126018,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1818_ (.A0(_0159_),
+ sky130_fd_sc_hd__mux2_1 _1743_ (.A0(_0159_),
     .A1(net223),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[18] ),
@@ -126711,7 +126026,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1819_ (.A0(_0160_),
+ sky130_fd_sc_hd__mux2_1 _1744_ (.A0(_0160_),
     .A1(net224),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[19] ),
@@ -126719,7 +126034,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1820_ (.A0(_0161_),
+ sky130_fd_sc_hd__mux2_1 _1745_ (.A0(_0161_),
     .A1(net226),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[20] ),
@@ -126727,7 +126042,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1821_ (.A0(_0162_),
+ sky130_fd_sc_hd__mux2_1 _1746_ (.A0(_0162_),
     .A1(net227),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[21] ),
@@ -126735,7 +126050,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1822_ (.A0(_0163_),
+ sky130_fd_sc_hd__mux2_1 _1747_ (.A0(_0163_),
     .A1(net228),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[22] ),
@@ -126743,7 +126058,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1823_ (.A0(_0164_),
+ sky130_fd_sc_hd__mux2_1 _1748_ (.A0(_0164_),
     .A1(net229),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[23] ),
@@ -126751,7 +126066,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1824_ (.A0(_0165_),
+ sky130_fd_sc_hd__mux2_1 _1749_ (.A0(_0165_),
     .A1(net230),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[24] ),
@@ -126759,7 +126074,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1825_ (.A0(_0166_),
+ sky130_fd_sc_hd__mux2_1 _1750_ (.A0(_0166_),
     .A1(net231),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[25] ),
@@ -126767,7 +126082,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1826_ (.A0(_0167_),
+ sky130_fd_sc_hd__mux2_1 _1751_ (.A0(_0167_),
     .A1(net232),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[26] ),
@@ -126775,7 +126090,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1827_ (.A0(_0168_),
+ sky130_fd_sc_hd__mux2_1 _1752_ (.A0(_0168_),
     .A1(net233),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[27] ),
@@ -126783,7 +126098,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1828_ (.A0(_0169_),
+ sky130_fd_sc_hd__mux2_1 _1753_ (.A0(_0169_),
     .A1(net234),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[28] ),
@@ -126791,7 +126106,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1829_ (.A0(_0170_),
+ sky130_fd_sc_hd__mux2_1 _1754_ (.A0(_0170_),
     .A1(net235),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[29] ),
@@ -126799,7 +126114,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1830_ (.A0(_0171_),
+ sky130_fd_sc_hd__mux2_1 _1755_ (.A0(_0171_),
     .A1(net237),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[30] ),
@@ -126807,7 +126122,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1831_ (.A0(_0172_),
+ sky130_fd_sc_hd__mux2_1 _1756_ (.A0(_0172_),
     .A1(net238),
     .S(_0182_),
     .X(\u_m_wb_stage.s_wbd_dat_i[31] ),
@@ -126815,335 +126130,335 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1832_ (.A0(_0175_),
+ sky130_fd_sc_hd__mux2_1 _1757_ (.A0(_0175_),
     .A1(net35),
-    .S(net667),
+    .S(net670),
     .X(_0176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1833_ (.A0(_0173_),
+ sky130_fd_sc_hd__mux2_1 _1758_ (.A0(_0173_),
     .A1(net73),
-    .S(net667),
+    .S(net670),
     .X(_0174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1834_ (.A0(_0139_),
+ sky130_fd_sc_hd__mux2_1 _1759_ (.A0(_0139_),
     .A1(_0138_),
-    .S(net667),
+    .S(net670),
     .X(_0140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1835_ (.A0(_0136_),
+ sky130_fd_sc_hd__mux2_1 _1760_ (.A0(_0136_),
     .A1(_0135_),
-    .S(net667),
+    .S(net670),
     .X(_0137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1836_ (.A0(_0133_),
+ sky130_fd_sc_hd__mux2_1 _1761_ (.A0(_0133_),
     .A1(net71),
-    .S(net667),
+    .S(net670),
     .X(_0134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1837_ (.A0(_0131_),
+ sky130_fd_sc_hd__mux2_1 _1762_ (.A0(_0131_),
     .A1(net70),
-    .S(net667),
+    .S(net670),
     .X(_0132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1838_ (.A0(_0129_),
+ sky130_fd_sc_hd__mux2_1 _1763_ (.A0(_0129_),
     .A1(net69),
-    .S(net667),
+    .S(net670),
     .X(_0130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1839_ (.A0(_0127_),
+ sky130_fd_sc_hd__mux2_1 _1764_ (.A0(_0127_),
     .A1(net68),
-    .S(net667),
+    .S(net670),
     .X(_0128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1840_ (.A0(_0125_),
+ sky130_fd_sc_hd__mux2_1 _1765_ (.A0(_0125_),
     .A1(net27),
-    .S(net667),
+    .S(net670),
     .X(_0126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1841_ (.A0(_0123_),
+ sky130_fd_sc_hd__mux2_1 _1766_ (.A0(_0123_),
     .A1(net26),
-    .S(net667),
+    .S(net670),
     .X(_0124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1842_ (.A0(_0121_),
+ sky130_fd_sc_hd__mux2_1 _1767_ (.A0(_0121_),
     .A1(net24),
-    .S(net667),
+    .S(net670),
     .X(_0122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1843_ (.A0(_0119_),
+ sky130_fd_sc_hd__mux2_1 _1768_ (.A0(_0119_),
     .A1(net23),
-    .S(net667),
+    .S(net670),
     .X(_0120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1844_ (.A0(_0117_),
+ sky130_fd_sc_hd__mux2_1 _1769_ (.A0(_0117_),
     .A1(net22),
-    .S(net667),
+    .S(net670),
     .X(_0118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1845_ (.A0(_0115_),
+ sky130_fd_sc_hd__mux2_1 _1770_ (.A0(_0115_),
     .A1(net21),
-    .S(net667),
+    .S(net670),
     .X(_0116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1846_ (.A0(_0113_),
+ sky130_fd_sc_hd__mux2_1 _1771_ (.A0(_0113_),
     .A1(net20),
-    .S(net667),
+    .S(net670),
     .X(_0114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1847_ (.A0(_0111_),
+ sky130_fd_sc_hd__mux2_1 _1772_ (.A0(_0111_),
     .A1(net19),
-    .S(net667),
+    .S(net670),
     .X(_0112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1848_ (.A0(_0109_),
+ sky130_fd_sc_hd__mux2_1 _1773_ (.A0(_0109_),
     .A1(net18),
-    .S(net667),
+    .S(net670),
     .X(_0110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1849_ (.A0(_0107_),
+ sky130_fd_sc_hd__mux2_1 _1774_ (.A0(_0107_),
     .A1(net17),
-    .S(net667),
+    .S(net670),
     .X(_0108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1850_ (.A0(_0105_),
+ sky130_fd_sc_hd__mux2_1 _1775_ (.A0(_0105_),
     .A1(net16),
-    .S(net667),
+    .S(net670),
     .X(_0106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1851_ (.A0(_0103_),
+ sky130_fd_sc_hd__mux2_1 _1776_ (.A0(_0103_),
     .A1(net15),
-    .S(net667),
+    .S(net670),
     .X(_0104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1852_ (.A0(_0101_),
+ sky130_fd_sc_hd__mux2_1 _1777_ (.A0(_0101_),
     .A1(net14),
-    .S(net667),
+    .S(net670),
     .X(_0102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1853_ (.A0(_0099_),
+ sky130_fd_sc_hd__mux2_1 _1778_ (.A0(_0099_),
     .A1(net13),
-    .S(net667),
+    .S(net670),
     .X(_0100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1854_ (.A0(_0097_),
+ sky130_fd_sc_hd__mux2_1 _1779_ (.A0(_0097_),
     .A1(net12),
-    .S(net667),
+    .S(net670),
     .X(_0098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1855_ (.A0(_0095_),
+ sky130_fd_sc_hd__mux2_1 _1780_ (.A0(_0095_),
     .A1(net11),
-    .S(net667),
+    .S(net670),
     .X(_0096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1856_ (.A0(_0093_),
+ sky130_fd_sc_hd__mux2_1 _1781_ (.A0(_0093_),
     .A1(net10),
-    .S(net667),
+    .S(net670),
     .X(_0094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1857_ (.A0(_0091_),
+ sky130_fd_sc_hd__mux2_1 _1782_ (.A0(_0091_),
     .A1(net9),
-    .S(net667),
+    .S(net670),
     .X(_0092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1858_ (.A0(_0089_),
+ sky130_fd_sc_hd__mux2_1 _1783_ (.A0(_0089_),
     .A1(net8),
-    .S(net667),
+    .S(net670),
     .X(_0090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1859_ (.A0(_0087_),
+ sky130_fd_sc_hd__mux2_1 _1784_ (.A0(_0087_),
     .A1(net7),
-    .S(net667),
+    .S(net670),
     .X(_0088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1860_ (.A0(_0085_),
+ sky130_fd_sc_hd__mux2_1 _1785_ (.A0(_0085_),
     .A1(net6),
-    .S(net667),
+    .S(net670),
     .X(_0086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1861_ (.A0(_0083_),
+ sky130_fd_sc_hd__mux2_1 _1786_ (.A0(_0083_),
     .A1(net5),
-    .S(net667),
+    .S(net670),
     .X(_0084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1862_ (.A0(_0081_),
+ sky130_fd_sc_hd__mux2_1 _1787_ (.A0(_0081_),
     .A1(net34),
-    .S(net667),
+    .S(net670),
     .X(_0082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1863_ (.A0(_0079_),
+ sky130_fd_sc_hd__mux2_1 _1788_ (.A0(_0079_),
     .A1(net33),
-    .S(net667),
+    .S(net670),
     .X(_0080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1864_ (.A0(_0077_),
+ sky130_fd_sc_hd__mux2_1 _1789_ (.A0(_0077_),
     .A1(net32),
-    .S(net667),
+    .S(net670),
     .X(_0078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1865_ (.A0(_0075_),
+ sky130_fd_sc_hd__mux2_1 _1790_ (.A0(_0075_),
     .A1(net31),
-    .S(net667),
+    .S(net670),
     .X(_0076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1866_ (.A0(_0073_),
+ sky130_fd_sc_hd__mux2_1 _1791_ (.A0(_0073_),
     .A1(net30),
-    .S(net667),
+    .S(net670),
     .X(_0074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1867_ (.A0(_0071_),
+ sky130_fd_sc_hd__mux2_1 _1792_ (.A0(_0071_),
     .A1(net29),
-    .S(net667),
+    .S(net670),
     .X(_0072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1868_ (.A0(_0069_),
+ sky130_fd_sc_hd__mux2_1 _1793_ (.A0(_0069_),
     .A1(net28),
-    .S(net667),
+    .S(net670),
     .X(_0070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1869_ (.A0(_0067_),
+ sky130_fd_sc_hd__mux2_1 _1794_ (.A0(_0067_),
     .A1(net25),
-    .S(net667),
+    .S(net670),
     .X(_0068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1870_ (.A0(_0065_),
+ sky130_fd_sc_hd__mux2_1 _1795_ (.A0(_0065_),
     .A1(net60),
-    .S(net667),
+    .S(net670),
     .X(_0066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1871_ (.A0(_0063_),
+ sky130_fd_sc_hd__mux2_1 _1796_ (.A0(_0063_),
     .A1(net59),
-    .S(net667),
+    .S(_0178_),
     .X(_0064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1872_ (.A0(_0061_),
+ sky130_fd_sc_hd__mux2_1 _1797_ (.A0(_0061_),
     .A1(net57),
-    .S(net667),
+    .S(_0178_),
     .X(_0062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1873_ (.A0(_0059_),
+ sky130_fd_sc_hd__mux2_1 _1798_ (.A0(_0059_),
     .A1(net56),
     .S(_0178_),
     .X(_0060_),
@@ -127151,7 +126466,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1874_ (.A0(_0057_),
+ sky130_fd_sc_hd__mux2_1 _1799_ (.A0(_0057_),
     .A1(net55),
     .S(_0178_),
     .X(_0058_),
@@ -127159,7 +126474,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1875_ (.A0(_0055_),
+ sky130_fd_sc_hd__mux2_1 _1800_ (.A0(_0055_),
     .A1(net54),
     .S(_0178_),
     .X(_0056_),
@@ -127167,7 +126482,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1876_ (.A0(_0053_),
+ sky130_fd_sc_hd__mux2_1 _1801_ (.A0(_0053_),
     .A1(net53),
     .S(_0178_),
     .X(_0054_),
@@ -127175,7 +126490,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1877_ (.A0(_0051_),
+ sky130_fd_sc_hd__mux2_2 _1802_ (.A0(_0051_),
     .A1(net52),
     .S(_0178_),
     .X(_0052_),
@@ -127183,7 +126498,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1878_ (.A0(_0049_),
+ sky130_fd_sc_hd__mux2_2 _1803_ (.A0(_0049_),
     .A1(net51),
     .S(_0178_),
     .X(_0050_),
@@ -127191,55 +126506,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1879_ (.A0(_0047_),
+ sky130_fd_sc_hd__mux2_1 _1804_ (.A0(_0047_),
     .A1(net50),
-    .S(net667),
+    .S(_0178_),
     .X(_0048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1880_ (.A0(_0045_),
+ sky130_fd_sc_hd__mux2_1 _1805_ (.A0(_0045_),
     .A1(net49),
-    .S(net667),
+    .S(_0178_),
     .X(_0046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1881_ (.A0(_0043_),
+ sky130_fd_sc_hd__mux2_2 _1806_ (.A0(_0043_),
     .A1(net48),
-    .S(net667),
+    .S(_0178_),
     .X(_0044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1882_ (.A0(_0041_),
+ sky130_fd_sc_hd__mux2_2 _1807_ (.A0(_0041_),
     .A1(net46),
-    .S(net667),
+    .S(_0178_),
     .X(_0042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1883_ (.A0(_0039_),
+ sky130_fd_sc_hd__mux2_1 _1808_ (.A0(_0039_),
     .A1(net45),
-    .S(net667),
+    .S(_0178_),
     .X(_0040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1884_ (.A0(_0037_),
+ sky130_fd_sc_hd__mux2_1 _1809_ (.A0(_0037_),
     .A1(net44),
-    .S(net667),
+    .S(_0178_),
     .X(_0038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1885_ (.A0(_0035_),
+ sky130_fd_sc_hd__mux2_1 _1810_ (.A0(_0035_),
     .A1(net43),
     .S(_0178_),
     .X(_0036_),
@@ -127247,7 +126562,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1886_ (.A0(_0033_),
+ sky130_fd_sc_hd__mux2_1 _1811_ (.A0(_0033_),
     .A1(net42),
     .S(_0178_),
     .X(_0034_),
@@ -127255,7 +126570,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1887_ (.A0(_0031_),
+ sky130_fd_sc_hd__mux2_1 _1812_ (.A0(_0031_),
     .A1(net41),
     .S(_0178_),
     .X(_0032_),
@@ -127263,7 +126578,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1888_ (.A0(_0029_),
+ sky130_fd_sc_hd__mux2_1 _1813_ (.A0(_0029_),
     .A1(net40),
     .S(_0178_),
     .X(_0030_),
@@ -127271,7 +126586,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1889_ (.A0(_0027_),
+ sky130_fd_sc_hd__mux2_1 _1814_ (.A0(_0027_),
     .A1(net39),
     .S(_0178_),
     .X(_0028_),
@@ -127279,7 +126594,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1890_ (.A0(_0025_),
+ sky130_fd_sc_hd__mux2_1 _1815_ (.A0(_0025_),
     .A1(net38),
     .S(_0178_),
     .X(_0026_),
@@ -127287,7 +126602,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1891_ (.A0(_0023_),
+ sky130_fd_sc_hd__mux2_1 _1816_ (.A0(_0023_),
     .A1(net37),
     .S(_0178_),
     .X(_0024_),
@@ -127295,7 +126610,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1892_ (.A0(_0021_),
+ sky130_fd_sc_hd__mux2_1 _1817_ (.A0(_0021_),
     .A1(net67),
     .S(_0178_),
     .X(_0022_),
@@ -127303,79 +126618,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1893_ (.A0(_0019_),
+ sky130_fd_sc_hd__mux2_1 _1818_ (.A0(_0019_),
     .A1(net66),
-    .S(_0178_),
+    .S(net670),
     .X(_0020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1894_ (.A0(_0017_),
+ sky130_fd_sc_hd__mux2_1 _1819_ (.A0(_0017_),
     .A1(net65),
-    .S(_0178_),
+    .S(net670),
     .X(_0018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1895_ (.A0(_0015_),
+ sky130_fd_sc_hd__mux2_1 _1820_ (.A0(_0015_),
     .A1(net64),
-    .S(_0178_),
+    .S(net670),
     .X(_0016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1896_ (.A0(_0013_),
+ sky130_fd_sc_hd__mux2_1 _1821_ (.A0(_0013_),
     .A1(net63),
-    .S(_0178_),
+    .S(net670),
     .X(_0014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 _1897_ (.A0(_0011_),
+ sky130_fd_sc_hd__mux2_1 _1822_ (.A0(_0011_),
     .A1(net62),
-    .S(net667),
+    .S(net670),
     .X(_0012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1898_ (.A0(_0009_),
+ sky130_fd_sc_hd__mux2_1 _1823_ (.A0(_0009_),
     .A1(net61),
-    .S(net667),
+    .S(net670),
     .X(_0010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1899_ (.A0(_0007_),
+ sky130_fd_sc_hd__mux2_1 _1824_ (.A0(_0007_),
     .A1(net58),
-    .S(net667),
+    .S(net670),
     .X(_0008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1900_ (.A0(_0005_),
+ sky130_fd_sc_hd__mux2_1 _1825_ (.A0(_0005_),
     .A1(net47),
-    .S(net667),
+    .S(net670),
     .X(_0006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1901_ (.A0(_0003_),
+ sky130_fd_sc_hd__mux2_1 _1826_ (.A0(_0003_),
     .A1(net36),
-    .S(net667),
+    .S(net670),
     .X(_0004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 _1902_ (.A0(_0183_),
+ sky130_fd_sc_hd__mux2_4 _1827_ (.A0(_0183_),
     .A1(_0181_),
     .S(_0182_),
     .X(_0184_),
@@ -127383,873 +126698,873 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 _1903_ (.A0(_0179_),
+ sky130_fd_sc_hd__mux2_1 _1828_ (.A0(_0179_),
     .A1(_0177_),
-    .S(net667),
+    .S(net670),
     .X(_0180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_2 _1904_ (.D(_0000_),
+ sky130_fd_sc_hd__dfstp_4 _1829_ (.D(_0000_),
     .Q(\u_wb_arb.state[0] ),
-    .SET_B(net668),
-    .CLK(clknet_3_4_0_clk_i),
+    .SET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _1905_ (.D(_0001_),
+ sky130_fd_sc_hd__dfrtp_4 _1830_ (.D(_0001_),
     .Q(\u_wb_arb.state[1] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1906_ (.D(_0002_),
+ sky130_fd_sc_hd__dfrtp_4 _1831_ (.D(_0002_),
     .Q(\u_wb_arb.state[2] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1907_ (.D(\u_m_wb_stage.s_wbd_ack_i ),
+ sky130_fd_sc_hd__dfrtp_4 _1832_ (.D(\u_m_wb_stage.s_wbd_ack_i ),
     .Q(\u_m_wb_stage.m_wbd_ack_o ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1908_ (.D(\u_m_wb_stage.s_wbd_dat_i[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _1833_ (.D(\u_m_wb_stage.s_wbd_dat_i[0] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[0] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_7_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1909_ (.D(\u_m_wb_stage.s_wbd_dat_i[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _1834_ (.D(\u_m_wb_stage.s_wbd_dat_i[1] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[1] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_7_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1910_ (.D(\u_m_wb_stage.s_wbd_dat_i[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _1835_ (.D(\u_m_wb_stage.s_wbd_dat_i[2] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[2] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_7_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1911_ (.D(\u_m_wb_stage.s_wbd_dat_i[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _1836_ (.D(\u_m_wb_stage.s_wbd_dat_i[3] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[3] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_7_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1912_ (.D(\u_m_wb_stage.s_wbd_dat_i[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _1837_ (.D(\u_m_wb_stage.s_wbd_dat_i[4] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[4] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1913_ (.D(\u_m_wb_stage.s_wbd_dat_i[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _1838_ (.D(\u_m_wb_stage.s_wbd_dat_i[5] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[5] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1914_ (.D(\u_m_wb_stage.s_wbd_dat_i[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _1839_ (.D(\u_m_wb_stage.s_wbd_dat_i[6] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[6] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1915_ (.D(\u_m_wb_stage.s_wbd_dat_i[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _1840_ (.D(\u_m_wb_stage.s_wbd_dat_i[7] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[7] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1916_ (.D(\u_m_wb_stage.s_wbd_dat_i[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _1841_ (.D(\u_m_wb_stage.s_wbd_dat_i[8] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[8] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_4_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1917_ (.D(\u_m_wb_stage.s_wbd_dat_i[9] ),
+ sky130_fd_sc_hd__dfrtp_4 _1842_ (.D(\u_m_wb_stage.s_wbd_dat_i[9] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[9] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1918_ (.D(\u_m_wb_stage.s_wbd_dat_i[10] ),
+ sky130_fd_sc_hd__dfrtp_4 _1843_ (.D(\u_m_wb_stage.s_wbd_dat_i[10] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[10] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_4_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1919_ (.D(\u_m_wb_stage.s_wbd_dat_i[11] ),
+ sky130_fd_sc_hd__dfrtp_4 _1844_ (.D(\u_m_wb_stage.s_wbd_dat_i[11] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[11] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_4_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1920_ (.D(\u_m_wb_stage.s_wbd_dat_i[12] ),
+ sky130_fd_sc_hd__dfrtp_4 _1845_ (.D(\u_m_wb_stage.s_wbd_dat_i[12] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[12] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_4_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1921_ (.D(\u_m_wb_stage.s_wbd_dat_i[13] ),
+ sky130_fd_sc_hd__dfrtp_4 _1846_ (.D(\u_m_wb_stage.s_wbd_dat_i[13] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[13] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_4_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1922_ (.D(\u_m_wb_stage.s_wbd_dat_i[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _1847_ (.D(\u_m_wb_stage.s_wbd_dat_i[14] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[14] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1923_ (.D(\u_m_wb_stage.s_wbd_dat_i[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _1848_ (.D(\u_m_wb_stage.s_wbd_dat_i[15] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[15] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1924_ (.D(\u_m_wb_stage.s_wbd_dat_i[16] ),
+ sky130_fd_sc_hd__dfrtp_4 _1849_ (.D(\u_m_wb_stage.s_wbd_dat_i[16] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[16] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_4_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1925_ (.D(\u_m_wb_stage.s_wbd_dat_i[17] ),
+ sky130_fd_sc_hd__dfrtp_4 _1850_ (.D(\u_m_wb_stage.s_wbd_dat_i[17] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[17] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_4_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1926_ (.D(\u_m_wb_stage.s_wbd_dat_i[18] ),
+ sky130_fd_sc_hd__dfrtp_4 _1851_ (.D(\u_m_wb_stage.s_wbd_dat_i[18] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[18] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1927_ (.D(\u_m_wb_stage.s_wbd_dat_i[19] ),
+ sky130_fd_sc_hd__dfrtp_4 _1852_ (.D(\u_m_wb_stage.s_wbd_dat_i[19] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[19] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_4_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1928_ (.D(\u_m_wb_stage.s_wbd_dat_i[20] ),
+ sky130_fd_sc_hd__dfrtp_4 _1853_ (.D(\u_m_wb_stage.s_wbd_dat_i[20] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[20] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1929_ (.D(\u_m_wb_stage.s_wbd_dat_i[21] ),
+ sky130_fd_sc_hd__dfrtp_4 _1854_ (.D(\u_m_wb_stage.s_wbd_dat_i[21] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[21] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1930_ (.D(\u_m_wb_stage.s_wbd_dat_i[22] ),
+ sky130_fd_sc_hd__dfrtp_4 _1855_ (.D(\u_m_wb_stage.s_wbd_dat_i[22] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[22] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1931_ (.D(\u_m_wb_stage.s_wbd_dat_i[23] ),
+ sky130_fd_sc_hd__dfrtp_4 _1856_ (.D(\u_m_wb_stage.s_wbd_dat_i[23] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[23] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1932_ (.D(\u_m_wb_stage.s_wbd_dat_i[24] ),
+ sky130_fd_sc_hd__dfrtp_4 _1857_ (.D(\u_m_wb_stage.s_wbd_dat_i[24] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[24] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1933_ (.D(\u_m_wb_stage.s_wbd_dat_i[25] ),
+ sky130_fd_sc_hd__dfrtp_4 _1858_ (.D(\u_m_wb_stage.s_wbd_dat_i[25] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[25] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1934_ (.D(\u_m_wb_stage.s_wbd_dat_i[26] ),
+ sky130_fd_sc_hd__dfrtp_4 _1859_ (.D(\u_m_wb_stage.s_wbd_dat_i[26] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[26] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1935_ (.D(\u_m_wb_stage.s_wbd_dat_i[27] ),
+ sky130_fd_sc_hd__dfrtp_4 _1860_ (.D(\u_m_wb_stage.s_wbd_dat_i[27] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[27] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_7_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1936_ (.D(\u_m_wb_stage.s_wbd_dat_i[28] ),
+ sky130_fd_sc_hd__dfrtp_4 _1861_ (.D(\u_m_wb_stage.s_wbd_dat_i[28] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[28] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1937_ (.D(\u_m_wb_stage.s_wbd_dat_i[29] ),
+ sky130_fd_sc_hd__dfrtp_4 _1862_ (.D(\u_m_wb_stage.s_wbd_dat_i[29] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[29] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1938_ (.D(\u_m_wb_stage.s_wbd_dat_i[30] ),
+ sky130_fd_sc_hd__dfrtp_4 _1863_ (.D(\u_m_wb_stage.s_wbd_dat_i[30] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[30] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_7_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1939_ (.D(\u_m_wb_stage.s_wbd_dat_i[31] ),
+ sky130_fd_sc_hd__dfrtp_4 _1864_ (.D(\u_m_wb_stage.s_wbd_dat_i[31] ),
     .Q(\u_m_wb_stage.m_wbd_dat_o[31] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_7_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1940_ (.D(_0185_),
+ sky130_fd_sc_hd__dfrtp_4 _1865_ (.D(_0185_),
     .Q(\u_m_wb_stage.m_wbd_sel_i_ff[0] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1941_ (.D(_0186_),
+ sky130_fd_sc_hd__dfrtp_4 _1866_ (.D(_0186_),
     .Q(\u_m_wb_stage.m_wbd_sel_i_ff[1] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1942_ (.D(_0187_),
+ sky130_fd_sc_hd__dfrtp_4 _1867_ (.D(_0187_),
     .Q(\u_m_wb_stage.m_wbd_sel_i_ff[2] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1943_ (.D(_0188_),
+ sky130_fd_sc_hd__dfrtp_4 _1868_ (.D(_0188_),
     .Q(\u_m_wb_stage.m_wbd_sel_i_ff[3] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1944_ (.D(_0189_),
+ sky130_fd_sc_hd__dfrtp_4 _1869_ (.D(_0189_),
     .Q(\u_m_wb_stage.m_wbd_stb_i_ff ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1945_ (.D(_0190_),
+ sky130_fd_sc_hd__dfrtp_4 _1870_ (.D(_0190_),
     .Q(\u_m_wb_stage.m_wbd_we_i_ff ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1946_ (.D(_0191_),
+ sky130_fd_sc_hd__dfrtp_4 _1871_ (.D(_0191_),
     .Q(\u_m_wb_stage.m_wbd_cyc_i_ff ),
-    .RESET_B(net686),
-    .CLK(clknet_3_0_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _1947_ (.D(_0192_),
+ sky130_fd_sc_hd__dfrtp_1 _1872_ (.D(_0192_),
     .Q(\u_m_wb_stage.holding_busy ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1948_ (.D(_0193_),
+ sky130_fd_sc_hd__dfrtp_4 _1873_ (.D(_0193_),
     .Q(\u_m_wb_stage.m_wbd_tid_i_ff[0] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1949_ (.D(_0194_),
+ sky130_fd_sc_hd__dfrtp_4 _1874_ (.D(_0194_),
     .Q(\u_m_wb_stage.m_wbd_tid_i_ff[1] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1950_ (.D(_0195_),
+ sky130_fd_sc_hd__dfrtp_4 _1875_ (.D(_0195_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[2] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1951_ (.D(_0196_),
+ sky130_fd_sc_hd__dfrtp_4 _1876_ (.D(_0196_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[3] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1952_ (.D(_0197_),
+ sky130_fd_sc_hd__dfrtp_4 _1877_ (.D(_0197_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[4] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1953_ (.D(_0198_),
+ sky130_fd_sc_hd__dfrtp_4 _1878_ (.D(_0198_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[5] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_0_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1954_ (.D(_0199_),
+ sky130_fd_sc_hd__dfrtp_4 _1879_ (.D(_0199_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[6] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_0_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1955_ (.D(_0200_),
+ sky130_fd_sc_hd__dfrtp_4 _1880_ (.D(_0200_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[7] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_0_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1956_ (.D(_0201_),
+ sky130_fd_sc_hd__dfrtp_2 _1881_ (.D(_0201_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[8] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1957_ (.D(_0202_),
+ sky130_fd_sc_hd__dfrtp_2 _1882_ (.D(_0202_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[9] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1958_ (.D(_0203_),
+ sky130_fd_sc_hd__dfrtp_2 _1883_ (.D(_0203_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[10] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_2_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1959_ (.D(_0204_),
+ sky130_fd_sc_hd__dfrtp_4 _1884_ (.D(_0204_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[11] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_0_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1960_ (.D(_0205_),
+ sky130_fd_sc_hd__dfrtp_4 _1885_ (.D(_0205_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[12] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1961_ (.D(_0206_),
+ sky130_fd_sc_hd__dfrtp_4 _1886_ (.D(_0206_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[13] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_2_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1962_ (.D(_0207_),
+ sky130_fd_sc_hd__dfrtp_4 _1887_ (.D(_0207_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[14] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1963_ (.D(_0208_),
+ sky130_fd_sc_hd__dfrtp_4 _1888_ (.D(_0208_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[15] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1964_ (.D(_0209_),
+ sky130_fd_sc_hd__dfrtp_4 _1889_ (.D(_0209_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[16] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1965_ (.D(_0210_),
+ sky130_fd_sc_hd__dfrtp_4 _1890_ (.D(_0210_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[17] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1966_ (.D(_0211_),
+ sky130_fd_sc_hd__dfrtp_2 _1891_ (.D(_0211_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[18] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1967_ (.D(_0212_),
+ sky130_fd_sc_hd__dfrtp_4 _1892_ (.D(_0212_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[19] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1968_ (.D(_0213_),
+ sky130_fd_sc_hd__dfrtp_4 _1893_ (.D(_0213_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[20] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1969_ (.D(_0214_),
+ sky130_fd_sc_hd__dfrtp_4 _1894_ (.D(_0214_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[21] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1970_ (.D(_0215_),
+ sky130_fd_sc_hd__dfrtp_4 _1895_ (.D(_0215_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[22] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1971_ (.D(_0216_),
+ sky130_fd_sc_hd__dfrtp_4 _1896_ (.D(_0216_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[23] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1972_ (.D(_0217_),
+ sky130_fd_sc_hd__dfrtp_4 _1897_ (.D(_0217_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[24] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1973_ (.D(_0218_),
+ sky130_fd_sc_hd__dfrtp_4 _1898_ (.D(_0218_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[25] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_2 _1974_ (.D(_0219_),
+ sky130_fd_sc_hd__dfrtp_2 _1899_ (.D(_0219_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[26] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_2_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1975_ (.D(_0220_),
+ sky130_fd_sc_hd__dfrtp_4 _1900_ (.D(_0220_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[27] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_2_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _1976_ (.D(_0221_),
+ sky130_fd_sc_hd__dfrtp_1 _1901_ (.D(_0221_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[28] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_2_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _1977_ (.D(_0222_),
+ sky130_fd_sc_hd__dfrtp_1 _1902_ (.D(_0222_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[29] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _1978_ (.D(_0223_),
+ sky130_fd_sc_hd__dfrtp_1 _1903_ (.D(_0223_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[30] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_3_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_1 _1979_ (.D(_0224_),
+ sky130_fd_sc_hd__dfrtp_1 _1904_ (.D(_0224_),
     .Q(\u_m_wb_stage.m_wbd_adr_i_ff[31] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_2_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1980_ (.D(_0225_),
+ sky130_fd_sc_hd__dfrtp_4 _1905_ (.D(_0225_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[0] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1981_ (.D(_0226_),
+ sky130_fd_sc_hd__dfrtp_4 _1906_ (.D(_0226_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[1] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1982_ (.D(_0227_),
+ sky130_fd_sc_hd__dfrtp_4 _1907_ (.D(_0227_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[2] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1983_ (.D(_0228_),
+ sky130_fd_sc_hd__dfrtp_4 _1908_ (.D(_0228_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[3] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1984_ (.D(_0229_),
+ sky130_fd_sc_hd__dfrtp_4 _1909_ (.D(_0229_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[4] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1985_ (.D(_0230_),
+ sky130_fd_sc_hd__dfrtp_4 _1910_ (.D(_0230_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[5] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1986_ (.D(_0231_),
+ sky130_fd_sc_hd__dfrtp_4 _1911_ (.D(_0231_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[6] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1987_ (.D(_0232_),
+ sky130_fd_sc_hd__dfrtp_4 _1912_ (.D(_0232_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[7] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1988_ (.D(_0233_),
+ sky130_fd_sc_hd__dfrtp_4 _1913_ (.D(_0233_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[8] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1989_ (.D(_0234_),
+ sky130_fd_sc_hd__dfrtp_4 _1914_ (.D(_0234_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[9] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1990_ (.D(_0235_),
+ sky130_fd_sc_hd__dfrtp_4 _1915_ (.D(_0235_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[10] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1991_ (.D(_0236_),
+ sky130_fd_sc_hd__dfrtp_4 _1916_ (.D(_0236_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[11] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1992_ (.D(_0237_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[12] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1993_ (.D(_0238_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[13] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1994_ (.D(_0239_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[14] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1995_ (.D(_0240_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[15] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1996_ (.D(_0241_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[16] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1997_ (.D(_0242_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[17] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1998_ (.D(_0243_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[18] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _1999_ (.D(_0244_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[19] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2000_ (.D(_0245_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[20] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2001_ (.D(_0246_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[21] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2002_ (.D(_0247_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[22] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2003_ (.D(_0248_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[23] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2004_ (.D(_0249_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[24] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2005_ (.D(_0250_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[25] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_5_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2006_ (.D(_0251_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[26] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2007_ (.D(_0252_),
+ sky130_fd_sc_hd__dfrtp_4 _1917_ (.D(_0237_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[12] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1918_ (.D(_0238_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[13] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1919_ (.D(_0239_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[14] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1920_ (.D(_0240_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[15] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1921_ (.D(_0241_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[16] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1922_ (.D(_0242_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[17] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1923_ (.D(_0243_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[18] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1924_ (.D(_0244_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[19] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1925_ (.D(_0245_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[20] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1926_ (.D(_0246_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[21] ),
+    .RESET_B(net672),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1927_ (.D(_0247_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[22] ),
+    .RESET_B(net672),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1928_ (.D(_0248_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[23] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1929_ (.D(_0249_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[24] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1930_ (.D(_0250_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[25] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1931_ (.D(_0251_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[26] ),
+    .RESET_B(net671),
+    .CLK(clknet_3_4_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1932_ (.D(_0252_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[27] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_6_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2008_ (.D(_0253_),
+ sky130_fd_sc_hd__dfrtp_4 _1933_ (.D(_0253_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[28] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
+    .CLK(clknet_3_5_0_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _1934_ (.D(_0254_),
+    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[29] ),
+    .RESET_B(net671),
     .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2009_ (.D(_0254_),
-    .Q(\u_m_wb_stage.m_wbd_dat_i_ff[29] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2010_ (.D(_0255_),
+ sky130_fd_sc_hd__dfrtp_4 _1935_ (.D(_0255_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[30] ),
-    .RESET_B(net686),
-    .CLK(clknet_3_1_0_clk_i),
+    .RESET_B(net671),
+    .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _2011_ (.D(_0256_),
+ sky130_fd_sc_hd__dfrtp_4 _1936_ (.D(_0256_),
     .Q(\u_m_wb_stage.m_wbd_dat_i_ff[31] ),
-    .RESET_B(net686),
+    .RESET_B(net671),
     .CLK(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128261,128 +127576,116 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_0_0_clk_i (.A(clknet_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_clk_i (.A(clknet_0_clk_i),
     .X(clknet_1_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_0_1_clk_i (.A(clknet_1_0_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_1_clk_i (.A(clknet_1_0_0_clk_i),
     .X(clknet_1_0_1_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_0_2_clk_i (.A(clknet_1_0_1_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_2_clk_i (.A(clknet_1_0_1_clk_i),
     .X(clknet_1_0_2_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_0_clk_i (.A(clknet_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_clk_i (.A(clknet_0_clk_i),
     .X(clknet_1_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_1_clk_i (.A(clknet_1_1_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_1_clk_i (.A(clknet_1_1_0_clk_i),
     .X(clknet_1_1_1_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_1_1_2_clk_i (.A(clknet_1_1_1_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_2_clk_i (.A(clknet_1_1_1_clk_i),
     .X(clknet_1_1_2_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_0_0_clk_i (.A(clknet_1_0_2_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_0_0_clk_i (.A(clknet_1_0_2_clk_i),
     .X(clknet_2_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_1_0_clk_i (.A(clknet_1_0_2_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_1_0_clk_i (.A(clknet_1_0_2_clk_i),
     .X(clknet_2_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_2_0_clk_i (.A(clknet_1_1_2_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_2_0_clk_i (.A(clknet_1_1_2_clk_i),
     .X(clknet_2_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_2_3_0_clk_i (.A(clknet_1_1_2_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_2_3_0_clk_i (.A(clknet_1_1_2_clk_i),
     .X(clknet_2_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_0_0_clk_i (.A(clknet_2_0_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_0_0_clk_i (.A(clknet_2_0_0_clk_i),
     .X(clknet_3_0_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_1_0_clk_i (.A(clknet_2_0_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_1_0_clk_i (.A(clknet_2_0_0_clk_i),
     .X(clknet_3_1_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_2_0_clk_i (.A(clknet_2_1_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_2_0_clk_i (.A(clknet_2_1_0_clk_i),
     .X(clknet_3_2_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_3_0_clk_i (.A(clknet_2_1_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_3_0_clk_i (.A(clknet_2_1_0_clk_i),
     .X(clknet_3_3_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_4_0_clk_i (.A(clknet_2_2_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_4_0_clk_i (.A(clknet_2_2_0_clk_i),
     .X(clknet_3_4_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_5_0_clk_i (.A(clknet_2_2_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_5_0_clk_i (.A(clknet_2_2_0_clk_i),
     .X(clknet_3_5_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_6_0_clk_i (.A(clknet_2_3_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_6_0_clk_i (.A(clknet_2_3_0_clk_i),
     .X(clknet_3_6_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 clkbuf_3_7_0_clk_i (.A(clknet_2_3_0_clk_i),
+ sky130_fd_sc_hd__clkbuf_2 clkbuf_3_7_0_clk_i (.A(clknet_2_3_0_clk_i),
     .X(clknet_3_7_0_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 hold18 (.A(net668),
-    .X(net686),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 hold19 (.A(net212),
-    .X(net687),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd3_1 hold20 (.A(rst_n),
-    .X(net688),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold1 (.A(net671),
+    .X(net672),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128393,25 +127696,25 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input10 (.A(m0_wbd_adr_i[15]),
+ sky130_fd_sc_hd__buf_2 input10 (.A(m0_wbd_adr_i[15]),
     .X(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input100 (.A(m1_wbd_adr_i[6]),
+ sky130_fd_sc_hd__clkbuf_1 input100 (.A(m1_wbd_adr_i[6]),
     .X(net100),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input101 (.A(m1_wbd_adr_i[7]),
+ sky130_fd_sc_hd__clkbuf_1 input101 (.A(m1_wbd_adr_i[7]),
     .X(net101),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input102 (.A(m1_wbd_adr_i[8]),
+ sky130_fd_sc_hd__clkbuf_1 input102 (.A(m1_wbd_adr_i[8]),
     .X(net102),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128423,37 +127726,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input104 (.A(m1_wbd_cyc_i),
+ sky130_fd_sc_hd__buf_6 input104 (.A(m1_wbd_cyc_i),
     .X(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input105 (.A(m1_wbd_dat_i[0]),
+ sky130_fd_sc_hd__buf_6 input105 (.A(m1_wbd_dat_i[0]),
     .X(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input106 (.A(m1_wbd_dat_i[10]),
+ sky130_fd_sc_hd__buf_6 input106 (.A(m1_wbd_dat_i[10]),
     .X(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input107 (.A(m1_wbd_dat_i[11]),
+ sky130_fd_sc_hd__buf_12 input107 (.A(m1_wbd_dat_i[11]),
     .X(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input108 (.A(m1_wbd_dat_i[12]),
+ sky130_fd_sc_hd__buf_12 input108 (.A(m1_wbd_dat_i[12]),
     .X(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input109 (.A(m1_wbd_dat_i[13]),
+ sky130_fd_sc_hd__clkbuf_16 input109 (.A(m1_wbd_dat_i[13]),
     .X(net109),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128465,61 +127768,61 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input110 (.A(m1_wbd_dat_i[14]),
+ sky130_fd_sc_hd__clkbuf_16 input110 (.A(m1_wbd_dat_i[14]),
     .X(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input111 (.A(m1_wbd_dat_i[15]),
+ sky130_fd_sc_hd__buf_8 input111 (.A(m1_wbd_dat_i[15]),
     .X(net111),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input112 (.A(m1_wbd_dat_i[16]),
+ sky130_fd_sc_hd__buf_8 input112 (.A(m1_wbd_dat_i[16]),
     .X(net112),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input113 (.A(m1_wbd_dat_i[17]),
+ sky130_fd_sc_hd__buf_8 input113 (.A(m1_wbd_dat_i[17]),
     .X(net113),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input114 (.A(m1_wbd_dat_i[18]),
+ sky130_fd_sc_hd__buf_8 input114 (.A(m1_wbd_dat_i[18]),
     .X(net114),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input115 (.A(m1_wbd_dat_i[19]),
+ sky130_fd_sc_hd__buf_12 input115 (.A(m1_wbd_dat_i[19]),
     .X(net115),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input116 (.A(m1_wbd_dat_i[1]),
+ sky130_fd_sc_hd__buf_4 input116 (.A(m1_wbd_dat_i[1]),
     .X(net116),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input117 (.A(m1_wbd_dat_i[20]),
+ sky130_fd_sc_hd__buf_12 input117 (.A(m1_wbd_dat_i[20]),
     .X(net117),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input118 (.A(m1_wbd_dat_i[21]),
+ sky130_fd_sc_hd__buf_12 input118 (.A(m1_wbd_dat_i[21]),
     .X(net118),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input119 (.A(m1_wbd_dat_i[22]),
+ sky130_fd_sc_hd__buf_12 input119 (.A(m1_wbd_dat_i[22]),
     .X(net119),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128531,61 +127834,61 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input120 (.A(m1_wbd_dat_i[23]),
+ sky130_fd_sc_hd__buf_12 input120 (.A(m1_wbd_dat_i[23]),
     .X(net120),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input121 (.A(m1_wbd_dat_i[24]),
+ sky130_fd_sc_hd__buf_12 input121 (.A(m1_wbd_dat_i[24]),
     .X(net121),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input122 (.A(m1_wbd_dat_i[25]),
+ sky130_fd_sc_hd__buf_12 input122 (.A(m1_wbd_dat_i[25]),
     .X(net122),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input123 (.A(m1_wbd_dat_i[26]),
+ sky130_fd_sc_hd__buf_12 input123 (.A(m1_wbd_dat_i[26]),
     .X(net123),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input124 (.A(m1_wbd_dat_i[27]),
+ sky130_fd_sc_hd__buf_12 input124 (.A(m1_wbd_dat_i[27]),
     .X(net124),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input125 (.A(m1_wbd_dat_i[28]),
+ sky130_fd_sc_hd__buf_12 input125 (.A(m1_wbd_dat_i[28]),
     .X(net125),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input126 (.A(m1_wbd_dat_i[29]),
+ sky130_fd_sc_hd__clkbuf_8 input126 (.A(m1_wbd_dat_i[29]),
     .X(net126),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input127 (.A(m1_wbd_dat_i[2]),
+ sky130_fd_sc_hd__buf_4 input127 (.A(m1_wbd_dat_i[2]),
     .X(net127),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input128 (.A(m1_wbd_dat_i[30]),
+ sky130_fd_sc_hd__clkbuf_8 input128 (.A(m1_wbd_dat_i[30]),
     .X(net128),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input129 (.A(m1_wbd_dat_i[31]),
+ sky130_fd_sc_hd__buf_4 input129 (.A(m1_wbd_dat_i[31]),
     .X(net129),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128597,103 +127900,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input130 (.A(m1_wbd_dat_i[3]),
+ sky130_fd_sc_hd__clkbuf_4 input130 (.A(m1_wbd_dat_i[3]),
     .X(net130),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input131 (.A(m1_wbd_dat_i[4]),
+ sky130_fd_sc_hd__buf_2 input131 (.A(m1_wbd_dat_i[4]),
     .X(net131),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input132 (.A(m1_wbd_dat_i[5]),
+ sky130_fd_sc_hd__buf_2 input132 (.A(m1_wbd_dat_i[5]),
     .X(net132),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input133 (.A(m1_wbd_dat_i[6]),
+ sky130_fd_sc_hd__buf_2 input133 (.A(m1_wbd_dat_i[6]),
     .X(net133),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input134 (.A(m1_wbd_dat_i[7]),
+ sky130_fd_sc_hd__clkbuf_2 input134 (.A(m1_wbd_dat_i[7]),
     .X(net134),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input135 (.A(m1_wbd_dat_i[8]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input135 (.A(m1_wbd_dat_i[8]),
     .X(net135),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input136 (.A(m1_wbd_dat_i[9]),
+ sky130_fd_sc_hd__buf_6 input136 (.A(m1_wbd_dat_i[9]),
     .X(net136),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input137 (.A(m1_wbd_sel_i[0]),
+ sky130_fd_sc_hd__buf_4 input137 (.A(m1_wbd_sel_i[0]),
     .X(net137),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input138 (.A(m1_wbd_sel_i[1]),
+ sky130_fd_sc_hd__buf_4 input138 (.A(m1_wbd_sel_i[1]),
     .X(net138),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input139 (.A(m1_wbd_sel_i[2]),
+ sky130_fd_sc_hd__buf_4 input139 (.A(m1_wbd_sel_i[2]),
     .X(net139),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input14 (.A(m0_wbd_adr_i[19]),
+ sky130_fd_sc_hd__buf_2 input14 (.A(m0_wbd_adr_i[19]),
     .X(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input140 (.A(m1_wbd_sel_i[3]),
+ sky130_fd_sc_hd__clkbuf_4 input140 (.A(m1_wbd_sel_i[3]),
     .X(net140),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input141 (.A(m1_wbd_stb_i),
+ sky130_fd_sc_hd__buf_4 input141 (.A(m1_wbd_stb_i),
     .X(net141),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input142 (.A(m1_wbd_we_i),
+ sky130_fd_sc_hd__buf_2 input142 (.A(m1_wbd_we_i),
     .X(net142),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input143 (.A(m2_wbd_adr_i[10]),
+ sky130_fd_sc_hd__buf_6 input143 (.A(m2_wbd_adr_i[10]),
     .X(net143),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input144 (.A(m2_wbd_adr_i[11]),
+ sky130_fd_sc_hd__buf_6 input144 (.A(m2_wbd_adr_i[11]),
     .X(net144),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input145 (.A(m2_wbd_adr_i[12]),
+ sky130_fd_sc_hd__buf_6 input145 (.A(m2_wbd_adr_i[12]),
     .X(net145),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128717,7 +128020,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input149 (.A(m2_wbd_adr_i[16]),
+ sky130_fd_sc_hd__clkbuf_4 input149 (.A(m2_wbd_adr_i[16]),
     .X(net149),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128729,61 +128032,61 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input150 (.A(m2_wbd_adr_i[17]),
+ sky130_fd_sc_hd__buf_4 input150 (.A(m2_wbd_adr_i[17]),
     .X(net150),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input151 (.A(m2_wbd_adr_i[18]),
+ sky130_fd_sc_hd__buf_4 input151 (.A(m2_wbd_adr_i[18]),
     .X(net151),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input152 (.A(m2_wbd_adr_i[19]),
+ sky130_fd_sc_hd__buf_4 input152 (.A(m2_wbd_adr_i[19]),
     .X(net152),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input153 (.A(m2_wbd_adr_i[20]),
+ sky130_fd_sc_hd__buf_6 input153 (.A(m2_wbd_adr_i[20]),
     .X(net153),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input154 (.A(m2_wbd_adr_i[21]),
+ sky130_fd_sc_hd__buf_6 input154 (.A(m2_wbd_adr_i[21]),
     .X(net154),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input155 (.A(m2_wbd_adr_i[22]),
+ sky130_fd_sc_hd__buf_6 input155 (.A(m2_wbd_adr_i[22]),
     .X(net155),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input156 (.A(m2_wbd_adr_i[23]),
+ sky130_fd_sc_hd__buf_6 input156 (.A(m2_wbd_adr_i[23]),
     .X(net156),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input157 (.A(m2_wbd_adr_i[24]),
+ sky130_fd_sc_hd__buf_8 input157 (.A(m2_wbd_adr_i[24]),
     .X(net157),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input158 (.A(m2_wbd_adr_i[25]),
+ sky130_fd_sc_hd__buf_8 input158 (.A(m2_wbd_adr_i[25]),
     .X(net158),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input159 (.A(m2_wbd_adr_i[26]),
+ sky130_fd_sc_hd__buf_6 input159 (.A(m2_wbd_adr_i[26]),
     .X(net159),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128795,19 +128098,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input160 (.A(m2_wbd_adr_i[27]),
+ sky130_fd_sc_hd__buf_6 input160 (.A(m2_wbd_adr_i[27]),
     .X(net160),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input161 (.A(m2_wbd_adr_i[28]),
+ sky130_fd_sc_hd__buf_6 input161 (.A(m2_wbd_adr_i[28]),
     .X(net161),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input162 (.A(m2_wbd_adr_i[29]),
+ sky130_fd_sc_hd__buf_6 input162 (.A(m2_wbd_adr_i[29]),
     .X(net162),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128819,13 +128122,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input164 (.A(m2_wbd_adr_i[30]),
+ sky130_fd_sc_hd__buf_6 input164 (.A(m2_wbd_adr_i[30]),
     .X(net164),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input165 (.A(m2_wbd_adr_i[31]),
+ sky130_fd_sc_hd__buf_6 input165 (.A(m2_wbd_adr_i[31]),
     .X(net165),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128837,19 +128140,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input167 (.A(m2_wbd_adr_i[4]),
+ sky130_fd_sc_hd__buf_6 input167 (.A(m2_wbd_adr_i[4]),
     .X(net167),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input168 (.A(m2_wbd_adr_i[5]),
+ sky130_fd_sc_hd__buf_6 input168 (.A(m2_wbd_adr_i[5]),
     .X(net168),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input169 (.A(m2_wbd_adr_i[6]),
+ sky130_fd_sc_hd__buf_6 input169 (.A(m2_wbd_adr_i[6]),
     .X(net169),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128867,31 +128170,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input171 (.A(m2_wbd_adr_i[8]),
+ sky130_fd_sc_hd__buf_6 input171 (.A(m2_wbd_adr_i[8]),
     .X(net171),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input172 (.A(m2_wbd_adr_i[9]),
+ sky130_fd_sc_hd__buf_6 input172 (.A(m2_wbd_adr_i[9]),
     .X(net172),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input173 (.A(m2_wbd_cyc_i),
+ sky130_fd_sc_hd__clkbuf_1 input173 (.A(m2_wbd_cyc_i),
     .X(net173),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input174 (.A(m2_wbd_dat_i[0]),
+ sky130_fd_sc_hd__clkbuf_1 input174 (.A(m2_wbd_dat_i[0]),
     .X(net174),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input175 (.A(m2_wbd_dat_i[10]),
+ sky130_fd_sc_hd__clkbuf_1 input175 (.A(m2_wbd_dat_i[10]),
     .X(net175),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128909,13 +128212,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input178 (.A(m2_wbd_dat_i[13]),
+ sky130_fd_sc_hd__clkbuf_4 input178 (.A(m2_wbd_dat_i[13]),
     .X(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input179 (.A(m2_wbd_dat_i[14]),
+ sky130_fd_sc_hd__clkbuf_4 input179 (.A(m2_wbd_dat_i[14]),
     .X(net179),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128927,13 +128230,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input180 (.A(m2_wbd_dat_i[15]),
+ sky130_fd_sc_hd__clkbuf_2 input180 (.A(m2_wbd_dat_i[15]),
     .X(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input181 (.A(m2_wbd_dat_i[16]),
+ sky130_fd_sc_hd__clkbuf_2 input181 (.A(m2_wbd_dat_i[16]),
     .X(net181),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -128951,97 +128254,97 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input184 (.A(m2_wbd_dat_i[19]),
+ sky130_fd_sc_hd__buf_4 input184 (.A(m2_wbd_dat_i[19]),
     .X(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input185 (.A(m2_wbd_dat_i[1]),
+ sky130_fd_sc_hd__clkbuf_2 input185 (.A(m2_wbd_dat_i[1]),
     .X(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input186 (.A(m2_wbd_dat_i[20]),
+ sky130_fd_sc_hd__buf_4 input186 (.A(m2_wbd_dat_i[20]),
     .X(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input187 (.A(m2_wbd_dat_i[21]),
+ sky130_fd_sc_hd__buf_4 input187 (.A(m2_wbd_dat_i[21]),
     .X(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input188 (.A(m2_wbd_dat_i[22]),
+ sky130_fd_sc_hd__buf_4 input188 (.A(m2_wbd_dat_i[22]),
     .X(net188),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 input189 (.A(m2_wbd_dat_i[23]),
+ sky130_fd_sc_hd__buf_4 input189 (.A(m2_wbd_dat_i[23]),
     .X(net189),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input19 (.A(m0_wbd_adr_i[24]),
+ sky130_fd_sc_hd__clkbuf_2 input19 (.A(m0_wbd_adr_i[24]),
     .X(net19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input190 (.A(m2_wbd_dat_i[24]),
+ sky130_fd_sc_hd__buf_4 input190 (.A(m2_wbd_dat_i[24]),
     .X(net190),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input191 (.A(m2_wbd_dat_i[25]),
+ sky130_fd_sc_hd__buf_4 input191 (.A(m2_wbd_dat_i[25]),
     .X(net191),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input192 (.A(m2_wbd_dat_i[26]),
+ sky130_fd_sc_hd__buf_4 input192 (.A(m2_wbd_dat_i[26]),
     .X(net192),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 input193 (.A(m2_wbd_dat_i[27]),
+ sky130_fd_sc_hd__clkbuf_4 input193 (.A(m2_wbd_dat_i[27]),
     .X(net193),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 input194 (.A(m2_wbd_dat_i[28]),
+ sky130_fd_sc_hd__clkbuf_4 input194 (.A(m2_wbd_dat_i[28]),
     .X(net194),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input195 (.A(m2_wbd_dat_i[29]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input195 (.A(m2_wbd_dat_i[29]),
     .X(net195),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input196 (.A(m2_wbd_dat_i[2]),
+ sky130_fd_sc_hd__buf_2 input196 (.A(m2_wbd_dat_i[2]),
     .X(net196),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input197 (.A(m2_wbd_dat_i[30]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input197 (.A(m2_wbd_dat_i[30]),
     .X(net197),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input198 (.A(m2_wbd_dat_i[31]),
+ sky130_fd_sc_hd__clkbuf_2 input198 (.A(m2_wbd_dat_i[31]),
     .X(net198),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129059,25 +128362,25 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input20 (.A(m0_wbd_adr_i[25]),
+ sky130_fd_sc_hd__clkbuf_2 input20 (.A(m0_wbd_adr_i[25]),
     .X(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input200 (.A(m2_wbd_dat_i[4]),
+ sky130_fd_sc_hd__buf_4 input200 (.A(m2_wbd_dat_i[4]),
     .X(net200),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input201 (.A(m2_wbd_dat_i[5]),
+ sky130_fd_sc_hd__buf_4 input201 (.A(m2_wbd_dat_i[5]),
     .X(net201),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input202 (.A(m2_wbd_dat_i[6]),
+ sky130_fd_sc_hd__buf_4 input202 (.A(m2_wbd_dat_i[6]),
     .X(net202),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129095,67 +128398,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input205 (.A(m2_wbd_dat_i[9]),
+ sky130_fd_sc_hd__clkbuf_1 input205 (.A(m2_wbd_dat_i[9]),
     .X(net205),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input206 (.A(m2_wbd_sel_i[0]),
+ sky130_fd_sc_hd__clkbuf_2 input206 (.A(m2_wbd_sel_i[0]),
     .X(net206),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input207 (.A(m2_wbd_sel_i[1]),
+ sky130_fd_sc_hd__buf_2 input207 (.A(m2_wbd_sel_i[1]),
     .X(net207),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input208 (.A(m2_wbd_sel_i[2]),
+ sky130_fd_sc_hd__buf_2 input208 (.A(m2_wbd_sel_i[2]),
     .X(net208),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input209 (.A(m2_wbd_sel_i[3]),
+ sky130_fd_sc_hd__buf_2 input209 (.A(m2_wbd_sel_i[3]),
     .X(net209),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input21 (.A(m0_wbd_adr_i[26]),
+ sky130_fd_sc_hd__clkbuf_2 input21 (.A(m0_wbd_adr_i[26]),
     .X(net21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input210 (.A(m2_wbd_stb_i),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input210 (.A(m2_wbd_stb_i),
     .X(net210),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input211 (.A(m2_wbd_we_i),
+ sky130_fd_sc_hd__buf_4 input211 (.A(m2_wbd_we_i),
     .X(net211),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input212 (.A(net688),
+ sky130_fd_sc_hd__buf_12 input212 (.A(rst_n),
     .X(net212),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input213 (.A(s0_wbd_ack_i),
+ sky130_fd_sc_hd__clkbuf_2 input213 (.A(s0_wbd_ack_i),
     .X(net213),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input214 (.A(s0_wbd_dat_i[0]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input214 (.A(s0_wbd_dat_i[0]),
     .X(net214),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129167,25 +128470,25 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input216 (.A(s0_wbd_dat_i[11]),
+ sky130_fd_sc_hd__buf_8 input216 (.A(s0_wbd_dat_i[11]),
     .X(net216),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input217 (.A(s0_wbd_dat_i[12]),
+ sky130_fd_sc_hd__buf_8 input217 (.A(s0_wbd_dat_i[12]),
     .X(net217),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input218 (.A(s0_wbd_dat_i[13]),
+ sky130_fd_sc_hd__buf_6 input218 (.A(s0_wbd_dat_i[13]),
     .X(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input219 (.A(s0_wbd_dat_i[14]),
+ sky130_fd_sc_hd__buf_6 input219 (.A(s0_wbd_dat_i[14]),
     .X(net219),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129197,61 +128500,61 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input220 (.A(s0_wbd_dat_i[15]),
+ sky130_fd_sc_hd__buf_8 input220 (.A(s0_wbd_dat_i[15]),
     .X(net220),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input221 (.A(s0_wbd_dat_i[16]),
+ sky130_fd_sc_hd__buf_12 input221 (.A(s0_wbd_dat_i[16]),
     .X(net221),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input222 (.A(s0_wbd_dat_i[17]),
+ sky130_fd_sc_hd__clkbuf_16 input222 (.A(s0_wbd_dat_i[17]),
     .X(net222),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input223 (.A(s0_wbd_dat_i[18]),
+ sky130_fd_sc_hd__clkbuf_16 input223 (.A(s0_wbd_dat_i[18]),
     .X(net223),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input224 (.A(s0_wbd_dat_i[19]),
+ sky130_fd_sc_hd__buf_8 input224 (.A(s0_wbd_dat_i[19]),
     .X(net224),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input225 (.A(s0_wbd_dat_i[1]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input225 (.A(s0_wbd_dat_i[1]),
     .X(net225),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input226 (.A(s0_wbd_dat_i[20]),
+ sky130_fd_sc_hd__buf_8 input226 (.A(s0_wbd_dat_i[20]),
     .X(net226),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input227 (.A(s0_wbd_dat_i[21]),
+ sky130_fd_sc_hd__buf_8 input227 (.A(s0_wbd_dat_i[21]),
     .X(net227),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input228 (.A(s0_wbd_dat_i[22]),
+ sky130_fd_sc_hd__buf_6 input228 (.A(s0_wbd_dat_i[22]),
     .X(net228),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input229 (.A(s0_wbd_dat_i[23]),
+ sky130_fd_sc_hd__buf_8 input229 (.A(s0_wbd_dat_i[23]),
     .X(net229),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129263,151 +128566,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input230 (.A(s0_wbd_dat_i[24]),
+ sky130_fd_sc_hd__buf_6 input230 (.A(s0_wbd_dat_i[24]),
     .X(net230),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input231 (.A(s0_wbd_dat_i[25]),
+ sky130_fd_sc_hd__buf_6 input231 (.A(s0_wbd_dat_i[25]),
     .X(net231),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input232 (.A(s0_wbd_dat_i[26]),
+ sky130_fd_sc_hd__buf_8 input232 (.A(s0_wbd_dat_i[26]),
     .X(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input233 (.A(s0_wbd_dat_i[27]),
+ sky130_fd_sc_hd__buf_4 input233 (.A(s0_wbd_dat_i[27]),
     .X(net233),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input234 (.A(s0_wbd_dat_i[28]),
+ sky130_fd_sc_hd__clkbuf_16 input234 (.A(s0_wbd_dat_i[28]),
     .X(net234),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input235 (.A(s0_wbd_dat_i[29]),
+ sky130_fd_sc_hd__buf_8 input235 (.A(s0_wbd_dat_i[29]),
     .X(net235),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input236 (.A(s0_wbd_dat_i[2]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input236 (.A(s0_wbd_dat_i[2]),
     .X(net236),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input237 (.A(s0_wbd_dat_i[30]),
+ sky130_fd_sc_hd__clkbuf_16 input237 (.A(s0_wbd_dat_i[30]),
     .X(net237),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input238 (.A(s0_wbd_dat_i[31]),
+ sky130_fd_sc_hd__buf_8 input238 (.A(s0_wbd_dat_i[31]),
     .X(net238),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input239 (.A(s0_wbd_dat_i[3]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input239 (.A(s0_wbd_dat_i[3]),
     .X(net239),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input24 (.A(m0_wbd_adr_i[29]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input24 (.A(m0_wbd_adr_i[29]),
     .X(net24),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input240 (.A(s0_wbd_dat_i[4]),
+ sky130_fd_sc_hd__clkbuf_16 input240 (.A(s0_wbd_dat_i[4]),
     .X(net240),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input241 (.A(s0_wbd_dat_i[5]),
+ sky130_fd_sc_hd__clkbuf_16 input241 (.A(s0_wbd_dat_i[5]),
     .X(net241),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input242 (.A(s0_wbd_dat_i[6]),
+ sky130_fd_sc_hd__buf_8 input242 (.A(s0_wbd_dat_i[6]),
     .X(net242),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input243 (.A(s0_wbd_dat_i[7]),
+ sky130_fd_sc_hd__clkbuf_16 input243 (.A(s0_wbd_dat_i[7]),
     .X(net243),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input244 (.A(s0_wbd_dat_i[8]),
+ sky130_fd_sc_hd__clkbuf_16 input244 (.A(s0_wbd_dat_i[8]),
     .X(net244),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input245 (.A(s0_wbd_dat_i[9]),
+ sky130_fd_sc_hd__buf_8 input245 (.A(s0_wbd_dat_i[9]),
     .X(net245),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input246 (.A(s1_wbd_ack_i),
+ sky130_fd_sc_hd__clkbuf_1 input246 (.A(s1_wbd_ack_i),
     .X(net246),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input247 (.A(s1_wbd_dat_i[0]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input247 (.A(s1_wbd_dat_i[0]),
     .X(net247),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input248 (.A(s1_wbd_dat_i[10]),
+ sky130_fd_sc_hd__buf_2 input248 (.A(s1_wbd_dat_i[10]),
     .X(net248),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input249 (.A(s1_wbd_dat_i[11]),
+ sky130_fd_sc_hd__buf_2 input249 (.A(s1_wbd_dat_i[11]),
     .X(net249),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input25 (.A(m0_wbd_adr_i[2]),
+ sky130_fd_sc_hd__clkbuf_4 input25 (.A(m0_wbd_adr_i[2]),
     .X(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input250 (.A(s1_wbd_dat_i[12]),
+ sky130_fd_sc_hd__clkbuf_2 input250 (.A(s1_wbd_dat_i[12]),
     .X(net250),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input251 (.A(s1_wbd_dat_i[13]),
+ sky130_fd_sc_hd__clkbuf_2 input251 (.A(s1_wbd_dat_i[13]),
     .X(net251),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input252 (.A(s1_wbd_dat_i[14]),
+ sky130_fd_sc_hd__clkbuf_2 input252 (.A(s1_wbd_dat_i[14]),
     .X(net252),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129431,19 +128734,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input256 (.A(s1_wbd_dat_i[18]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input256 (.A(s1_wbd_dat_i[18]),
     .X(net256),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input257 (.A(s1_wbd_dat_i[19]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input257 (.A(s1_wbd_dat_i[19]),
     .X(net257),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input258 (.A(s1_wbd_dat_i[1]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input258 (.A(s1_wbd_dat_i[1]),
     .X(net258),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129455,13 +128758,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input26 (.A(m0_wbd_adr_i[30]),
+ sky130_fd_sc_hd__clkbuf_1 input26 (.A(m0_wbd_adr_i[30]),
     .X(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input260 (.A(s1_wbd_dat_i[21]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input260 (.A(s1_wbd_dat_i[21]),
     .X(net260),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129479,115 +128782,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input263 (.A(s1_wbd_dat_i[24]),
+ sky130_fd_sc_hd__clkbuf_1 input263 (.A(s1_wbd_dat_i[24]),
     .X(net263),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input264 (.A(s1_wbd_dat_i[25]),
+ sky130_fd_sc_hd__clkbuf_1 input264 (.A(s1_wbd_dat_i[25]),
     .X(net264),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input265 (.A(s1_wbd_dat_i[26]),
+ sky130_fd_sc_hd__clkbuf_1 input265 (.A(s1_wbd_dat_i[26]),
     .X(net265),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input266 (.A(s1_wbd_dat_i[27]),
+ sky130_fd_sc_hd__clkbuf_1 input266 (.A(s1_wbd_dat_i[27]),
     .X(net266),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input267 (.A(s1_wbd_dat_i[28]),
+ sky130_fd_sc_hd__clkbuf_1 input267 (.A(s1_wbd_dat_i[28]),
     .X(net267),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input268 (.A(s1_wbd_dat_i[29]),
+ sky130_fd_sc_hd__clkbuf_1 input268 (.A(s1_wbd_dat_i[29]),
     .X(net268),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input269 (.A(s1_wbd_dat_i[2]),
+ sky130_fd_sc_hd__clkbuf_2 input269 (.A(s1_wbd_dat_i[2]),
     .X(net269),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input27 (.A(m0_wbd_adr_i[31]),
+ sky130_fd_sc_hd__clkbuf_1 input27 (.A(m0_wbd_adr_i[31]),
     .X(net27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input270 (.A(s1_wbd_dat_i[30]),
+ sky130_fd_sc_hd__clkbuf_1 input270 (.A(s1_wbd_dat_i[30]),
     .X(net270),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input271 (.A(s1_wbd_dat_i[31]),
+ sky130_fd_sc_hd__clkbuf_1 input271 (.A(s1_wbd_dat_i[31]),
     .X(net271),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input272 (.A(s1_wbd_dat_i[3]),
+ sky130_fd_sc_hd__clkbuf_2 input272 (.A(s1_wbd_dat_i[3]),
     .X(net272),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input273 (.A(s1_wbd_dat_i[4]),
+ sky130_fd_sc_hd__clkbuf_2 input273 (.A(s1_wbd_dat_i[4]),
     .X(net273),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 input274 (.A(s1_wbd_dat_i[5]),
+ sky130_fd_sc_hd__clkbuf_2 input274 (.A(s1_wbd_dat_i[5]),
     .X(net274),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input275 (.A(s1_wbd_dat_i[6]),
+ sky130_fd_sc_hd__buf_2 input275 (.A(s1_wbd_dat_i[6]),
     .X(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input276 (.A(s1_wbd_dat_i[7]),
+ sky130_fd_sc_hd__buf_2 input276 (.A(s1_wbd_dat_i[7]),
     .X(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input277 (.A(s1_wbd_dat_i[8]),
+ sky130_fd_sc_hd__buf_2 input277 (.A(s1_wbd_dat_i[8]),
     .X(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input278 (.A(s1_wbd_dat_i[9]),
+ sky130_fd_sc_hd__buf_2 input278 (.A(s1_wbd_dat_i[9]),
     .X(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input279 (.A(s2_wbd_ack_i),
+ sky130_fd_sc_hd__buf_12 input279 (.A(s2_wbd_ack_i),
     .X(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_4 input28 (.A(m0_wbd_adr_i[3]),
+ sky130_fd_sc_hd__clkbuf_2 input28 (.A(m0_wbd_adr_i[3]),
     .X(net28),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129605,49 +128908,49 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input282 (.A(s2_wbd_dat_i[11]),
+ sky130_fd_sc_hd__buf_8 input282 (.A(s2_wbd_dat_i[11]),
     .X(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input283 (.A(s2_wbd_dat_i[12]),
+ sky130_fd_sc_hd__clkbuf_16 input283 (.A(s2_wbd_dat_i[12]),
     .X(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input284 (.A(s2_wbd_dat_i[13]),
+ sky130_fd_sc_hd__clkbuf_16 input284 (.A(s2_wbd_dat_i[13]),
     .X(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input285 (.A(s2_wbd_dat_i[14]),
+ sky130_fd_sc_hd__clkbuf_16 input285 (.A(s2_wbd_dat_i[14]),
     .X(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input286 (.A(s2_wbd_dat_i[15]),
+ sky130_fd_sc_hd__clkbuf_16 input286 (.A(s2_wbd_dat_i[15]),
     .X(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input287 (.A(s2_wbd_dat_i[16]),
+ sky130_fd_sc_hd__clkbuf_16 input287 (.A(s2_wbd_dat_i[16]),
     .X(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input288 (.A(s2_wbd_dat_i[17]),
+ sky130_fd_sc_hd__clkbuf_16 input288 (.A(s2_wbd_dat_i[17]),
     .X(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input289 (.A(s2_wbd_dat_i[18]),
+ sky130_fd_sc_hd__clkbuf_16 input289 (.A(s2_wbd_dat_i[18]),
     .X(net289),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129659,7 +128962,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input290 (.A(s2_wbd_dat_i[19]),
+ sky130_fd_sc_hd__clkbuf_16 input290 (.A(s2_wbd_dat_i[19]),
     .X(net290),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129671,37 +128974,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input292 (.A(s2_wbd_dat_i[20]),
+ sky130_fd_sc_hd__clkbuf_16 input292 (.A(s2_wbd_dat_i[20]),
     .X(net292),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input293 (.A(s2_wbd_dat_i[21]),
+ sky130_fd_sc_hd__clkbuf_16 input293 (.A(s2_wbd_dat_i[21]),
     .X(net293),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input294 (.A(s2_wbd_dat_i[22]),
+ sky130_fd_sc_hd__clkbuf_16 input294 (.A(s2_wbd_dat_i[22]),
     .X(net294),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input295 (.A(s2_wbd_dat_i[23]),
+ sky130_fd_sc_hd__clkbuf_16 input295 (.A(s2_wbd_dat_i[23]),
     .X(net295),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input296 (.A(s2_wbd_dat_i[24]),
+ sky130_fd_sc_hd__clkbuf_16 input296 (.A(s2_wbd_dat_i[24]),
     .X(net296),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input297 (.A(s2_wbd_dat_i[25]),
+ sky130_fd_sc_hd__clkbuf_16 input297 (.A(s2_wbd_dat_i[25]),
     .X(net297),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129713,7 +129016,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input299 (.A(s2_wbd_dat_i[27]),
+ sky130_fd_sc_hd__buf_12 input299 (.A(s2_wbd_dat_i[27]),
     .X(net299),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129725,19 +129028,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input30 (.A(m0_wbd_adr_i[5]),
+ sky130_fd_sc_hd__buf_2 input30 (.A(m0_wbd_adr_i[5]),
     .X(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input300 (.A(s2_wbd_dat_i[28]),
+ sky130_fd_sc_hd__buf_12 input300 (.A(s2_wbd_dat_i[28]),
     .X(net300),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input301 (.A(s2_wbd_dat_i[29]),
+ sky130_fd_sc_hd__buf_12 input301 (.A(s2_wbd_dat_i[29]),
     .X(net301),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129749,19 +129052,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input303 (.A(s2_wbd_dat_i[30]),
+ sky130_fd_sc_hd__buf_12 input303 (.A(s2_wbd_dat_i[30]),
     .X(net303),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input304 (.A(s2_wbd_dat_i[31]),
+ sky130_fd_sc_hd__clkbuf_16 input304 (.A(s2_wbd_dat_i[31]),
     .X(net304),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input305 (.A(s2_wbd_dat_i[3]),
+ sky130_fd_sc_hd__buf_8 input305 (.A(s2_wbd_dat_i[3]),
     .X(net305),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129791,7 +129094,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input31 (.A(m0_wbd_adr_i[6]),
+ sky130_fd_sc_hd__buf_2 input31 (.A(m0_wbd_adr_i[6]),
     .X(net31),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129857,7 +129160,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input32 (.A(m0_wbd_adr_i[7]),
+ sky130_fd_sc_hd__clkbuf_4 input32 (.A(m0_wbd_adr_i[7]),
     .X(net32),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129923,7 +129226,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input33 (.A(m0_wbd_adr_i[8]),
+ sky130_fd_sc_hd__buf_2 input33 (.A(m0_wbd_adr_i[8]),
     .X(net33),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -129989,7 +129292,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 input34 (.A(m0_wbd_adr_i[9]),
+ sky130_fd_sc_hd__buf_2 input34 (.A(m0_wbd_adr_i[9]),
     .X(net34),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -130037,13 +129340,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input36 (.A(m0_wbd_dat_i[0]),
+ sky130_fd_sc_hd__clkbuf_1 input36 (.A(m0_wbd_dat_i[0]),
     .X(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input37 (.A(m0_wbd_dat_i[10]),
+ sky130_fd_sc_hd__buf_8 input37 (.A(m0_wbd_dat_i[10]),
     .X(net37),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -130067,115 +129370,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input40 (.A(m0_wbd_dat_i[13]),
+ sky130_fd_sc_hd__buf_12 input40 (.A(m0_wbd_dat_i[13]),
     .X(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input41 (.A(m0_wbd_dat_i[14]),
+ sky130_fd_sc_hd__buf_12 input41 (.A(m0_wbd_dat_i[14]),
     .X(net41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input42 (.A(m0_wbd_dat_i[15]),
+ sky130_fd_sc_hd__clkbuf_16 input42 (.A(m0_wbd_dat_i[15]),
     .X(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input43 (.A(m0_wbd_dat_i[16]),
+ sky130_fd_sc_hd__clkbuf_16 input43 (.A(m0_wbd_dat_i[16]),
     .X(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input44 (.A(m0_wbd_dat_i[17]),
+ sky130_fd_sc_hd__clkbuf_16 input44 (.A(m0_wbd_dat_i[17]),
     .X(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input45 (.A(m0_wbd_dat_i[18]),
+ sky130_fd_sc_hd__clkbuf_16 input45 (.A(m0_wbd_dat_i[18]),
     .X(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input46 (.A(m0_wbd_dat_i[19]),
+ sky130_fd_sc_hd__buf_12 input46 (.A(m0_wbd_dat_i[19]),
     .X(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input47 (.A(m0_wbd_dat_i[1]),
+ sky130_fd_sc_hd__clkbuf_1 input47 (.A(m0_wbd_dat_i[1]),
     .X(net47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input48 (.A(m0_wbd_dat_i[20]),
+ sky130_fd_sc_hd__buf_12 input48 (.A(m0_wbd_dat_i[20]),
     .X(net48),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input49 (.A(m0_wbd_dat_i[21]),
+ sky130_fd_sc_hd__buf_12 input49 (.A(m0_wbd_dat_i[21]),
     .X(net49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 input5 (.A(m0_wbd_adr_i[10]),
+ sky130_fd_sc_hd__buf_2 input5 (.A(m0_wbd_adr_i[10]),
     .X(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input50 (.A(m0_wbd_dat_i[22]),
+ sky130_fd_sc_hd__buf_12 input50 (.A(m0_wbd_dat_i[22]),
     .X(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input51 (.A(m0_wbd_dat_i[23]),
+ sky130_fd_sc_hd__buf_12 input51 (.A(m0_wbd_dat_i[23]),
     .X(net51),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input52 (.A(m0_wbd_dat_i[24]),
+ sky130_fd_sc_hd__buf_12 input52 (.A(m0_wbd_dat_i[24]),
     .X(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input53 (.A(m0_wbd_dat_i[25]),
+ sky130_fd_sc_hd__buf_12 input53 (.A(m0_wbd_dat_i[25]),
     .X(net53),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 input54 (.A(m0_wbd_dat_i[26]),
+ sky130_fd_sc_hd__buf_12 input54 (.A(m0_wbd_dat_i[26]),
     .X(net54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input55 (.A(m0_wbd_dat_i[27]),
+ sky130_fd_sc_hd__clkbuf_16 input55 (.A(m0_wbd_dat_i[27]),
     .X(net55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_8 input56 (.A(m0_wbd_dat_i[28]),
+ sky130_fd_sc_hd__clkbuf_16 input56 (.A(m0_wbd_dat_i[28]),
     .X(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 input57 (.A(m0_wbd_dat_i[29]),
+ sky130_fd_sc_hd__buf_8 input57 (.A(m0_wbd_dat_i[29]),
     .X(net57),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -130187,91 +129490,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_8 input59 (.A(m0_wbd_dat_i[30]),
+ sky130_fd_sc_hd__buf_8 input59 (.A(m0_wbd_dat_i[30]),
     .X(net59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 input6 (.A(m0_wbd_adr_i[11]),
+ sky130_fd_sc_hd__buf_2 input6 (.A(m0_wbd_adr_i[11]),
     .X(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input60 (.A(m0_wbd_dat_i[31]),
+ sky130_fd_sc_hd__buf_6 input60 (.A(m0_wbd_dat_i[31]),
     .X(net60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input61 (.A(m0_wbd_dat_i[3]),
+ sky130_fd_sc_hd__clkbuf_1 input61 (.A(m0_wbd_dat_i[3]),
     .X(net61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input62 (.A(m0_wbd_dat_i[4]),
+ sky130_fd_sc_hd__clkbuf_1 input62 (.A(m0_wbd_dat_i[4]),
     .X(net62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input63 (.A(m0_wbd_dat_i[5]),
+ sky130_fd_sc_hd__clkbuf_1 input63 (.A(m0_wbd_dat_i[5]),
     .X(net63),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input64 (.A(m0_wbd_dat_i[6]),
+ sky130_fd_sc_hd__clkbuf_1 input64 (.A(m0_wbd_dat_i[6]),
     .X(net64),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input65 (.A(m0_wbd_dat_i[7]),
+ sky130_fd_sc_hd__buf_4 input65 (.A(m0_wbd_dat_i[7]),
     .X(net65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input66 (.A(m0_wbd_dat_i[8]),
+ sky130_fd_sc_hd__buf_4 input66 (.A(m0_wbd_dat_i[8]),
     .X(net66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 input67 (.A(m0_wbd_dat_i[9]),
+ sky130_fd_sc_hd__buf_8 input67 (.A(m0_wbd_dat_i[9]),
     .X(net67),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input68 (.A(m0_wbd_sel_i[0]),
+ sky130_fd_sc_hd__buf_6 input68 (.A(m0_wbd_sel_i[0]),
     .X(net68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input69 (.A(m0_wbd_sel_i[1]),
+ sky130_fd_sc_hd__buf_6 input69 (.A(m0_wbd_sel_i[1]),
     .X(net69),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 input7 (.A(m0_wbd_adr_i[12]),
+ sky130_fd_sc_hd__buf_2 input7 (.A(m0_wbd_adr_i[12]),
     .X(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input70 (.A(m0_wbd_sel_i[2]),
+ sky130_fd_sc_hd__buf_6 input70 (.A(m0_wbd_sel_i[2]),
     .X(net70),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 input71 (.A(m0_wbd_sel_i[3]),
+ sky130_fd_sc_hd__buf_6 input71 (.A(m0_wbd_sel_i[3]),
     .X(net71),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -130301,2233 +129604,2239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input76 (.A(m1_wbd_adr_i[12]),
+ sky130_fd_sc_hd__clkbuf_1 input76 (.A(m1_wbd_adr_i[12]),
     .X(net76),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input77 (.A(m1_wbd_adr_i[13]),
+ sky130_fd_sc_hd__clkbuf_1 input77 (.A(m1_wbd_adr_i[13]),
     .X(net77),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input78 (.A(m1_wbd_adr_i[14]),
+ sky130_fd_sc_hd__clkbuf_1 input78 (.A(m1_wbd_adr_i[14]),
     .X(net78),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input79 (.A(m1_wbd_adr_i[15]),
+ sky130_fd_sc_hd__clkbuf_1 input79 (.A(m1_wbd_adr_i[15]),
     .X(net79),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input8 (.A(m0_wbd_adr_i[13]),
+ sky130_fd_sc_hd__clkbuf_4 input8 (.A(m0_wbd_adr_i[13]),
     .X(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input80 (.A(m1_wbd_adr_i[16]),
+ sky130_fd_sc_hd__clkbuf_4 input80 (.A(m1_wbd_adr_i[16]),
     .X(net80),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input81 (.A(m1_wbd_adr_i[17]),
+ sky130_fd_sc_hd__clkbuf_4 input81 (.A(m1_wbd_adr_i[17]),
     .X(net81),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input82 (.A(m1_wbd_adr_i[18]),
+ sky130_fd_sc_hd__buf_2 input82 (.A(m1_wbd_adr_i[18]),
     .X(net82),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input83 (.A(m1_wbd_adr_i[19]),
+ sky130_fd_sc_hd__buf_2 input83 (.A(m1_wbd_adr_i[19]),
     .X(net83),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input84 (.A(m1_wbd_adr_i[20]),
+ sky130_fd_sc_hd__clkbuf_1 input84 (.A(m1_wbd_adr_i[20]),
     .X(net84),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input85 (.A(m1_wbd_adr_i[21]),
+ sky130_fd_sc_hd__clkbuf_1 input85 (.A(m1_wbd_adr_i[21]),
     .X(net85),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input86 (.A(m1_wbd_adr_i[22]),
+ sky130_fd_sc_hd__clkbuf_1 input86 (.A(m1_wbd_adr_i[22]),
     .X(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input87 (.A(m1_wbd_adr_i[23]),
+ sky130_fd_sc_hd__clkbuf_1 input87 (.A(m1_wbd_adr_i[23]),
     .X(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input88 (.A(m1_wbd_adr_i[24]),
+ sky130_fd_sc_hd__clkbuf_1 input88 (.A(m1_wbd_adr_i[24]),
     .X(net88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input89 (.A(m1_wbd_adr_i[25]),
+ sky130_fd_sc_hd__clkbuf_1 input89 (.A(m1_wbd_adr_i[25]),
     .X(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input9 (.A(m0_wbd_adr_i[14]),
+ sky130_fd_sc_hd__clkbuf_4 input9 (.A(m0_wbd_adr_i[14]),
     .X(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input90 (.A(m1_wbd_adr_i[26]),
+ sky130_fd_sc_hd__clkbuf_1 input90 (.A(m1_wbd_adr_i[26]),
     .X(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input91 (.A(m1_wbd_adr_i[27]),
+ sky130_fd_sc_hd__clkbuf_1 input91 (.A(m1_wbd_adr_i[27]),
     .X(net91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input92 (.A(m1_wbd_adr_i[28]),
+ sky130_fd_sc_hd__clkbuf_2 input92 (.A(m1_wbd_adr_i[28]),
     .X(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input93 (.A(m1_wbd_adr_i[29]),
+ sky130_fd_sc_hd__clkbuf_2 input93 (.A(m1_wbd_adr_i[29]),
     .X(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 input94 (.A(m1_wbd_adr_i[2]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input94 (.A(m1_wbd_adr_i[2]),
     .X(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input95 (.A(m1_wbd_adr_i[30]),
+ sky130_fd_sc_hd__buf_2 input95 (.A(m1_wbd_adr_i[30]),
     .X(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 input96 (.A(m1_wbd_adr_i[31]),
+ sky130_fd_sc_hd__buf_2 input96 (.A(m1_wbd_adr_i[31]),
     .X(net96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input97 (.A(m1_wbd_adr_i[3]),
+ sky130_fd_sc_hd__clkbuf_1 input97 (.A(m1_wbd_adr_i[3]),
     .X(net97),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input98 (.A(m1_wbd_adr_i[4]),
+ sky130_fd_sc_hd__clkbuf_1 input98 (.A(m1_wbd_adr_i[4]),
     .X(net98),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_1 input99 (.A(m1_wbd_adr_i[5]),
+ sky130_fd_sc_hd__clkbuf_1 input99 (.A(m1_wbd_adr_i[5]),
     .X(net99),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output346 (.A(net346),
+ sky130_fd_sc_hd__buf_2 output346 (.A(net346),
     .X(m0_wbd_ack_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output347 (.A(net347),
+ sky130_fd_sc_hd__buf_2 output347 (.A(net347),
     .X(m0_wbd_dat_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output348 (.A(net348),
+ sky130_fd_sc_hd__buf_2 output348 (.A(net348),
     .X(m0_wbd_dat_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output349 (.A(net349),
+ sky130_fd_sc_hd__buf_2 output349 (.A(net349),
     .X(m0_wbd_dat_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output350 (.A(net350),
+ sky130_fd_sc_hd__buf_2 output350 (.A(net350),
     .X(m0_wbd_dat_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output351 (.A(net351),
+ sky130_fd_sc_hd__buf_2 output351 (.A(net351),
     .X(m0_wbd_dat_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output352 (.A(net352),
+ sky130_fd_sc_hd__buf_2 output352 (.A(net352),
     .X(m0_wbd_dat_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output353 (.A(net353),
+ sky130_fd_sc_hd__buf_2 output353 (.A(net353),
     .X(m0_wbd_dat_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output354 (.A(net354),
+ sky130_fd_sc_hd__buf_2 output354 (.A(net354),
     .X(m0_wbd_dat_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output355 (.A(net355),
+ sky130_fd_sc_hd__buf_2 output355 (.A(net355),
     .X(m0_wbd_dat_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output356 (.A(net356),
+ sky130_fd_sc_hd__buf_2 output356 (.A(net356),
     .X(m0_wbd_dat_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output357 (.A(net357),
+ sky130_fd_sc_hd__buf_2 output357 (.A(net357),
     .X(m0_wbd_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output358 (.A(net358),
+ sky130_fd_sc_hd__buf_2 output358 (.A(net358),
     .X(m0_wbd_dat_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output359 (.A(net359),
+ sky130_fd_sc_hd__buf_2 output359 (.A(net359),
     .X(m0_wbd_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output360 (.A(net360),
+ sky130_fd_sc_hd__buf_2 output360 (.A(net360),
     .X(m0_wbd_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output361 (.A(net361),
+ sky130_fd_sc_hd__buf_2 output361 (.A(net361),
     .X(m0_wbd_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output362 (.A(net362),
+ sky130_fd_sc_hd__buf_2 output362 (.A(net362),
     .X(m0_wbd_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output363 (.A(net363),
+ sky130_fd_sc_hd__buf_2 output363 (.A(net363),
     .X(m0_wbd_dat_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output364 (.A(net364),
+ sky130_fd_sc_hd__buf_2 output364 (.A(net364),
     .X(m0_wbd_dat_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output365 (.A(net365),
+ sky130_fd_sc_hd__buf_2 output365 (.A(net365),
     .X(m0_wbd_dat_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output366 (.A(net366),
+ sky130_fd_sc_hd__buf_2 output366 (.A(net366),
     .X(m0_wbd_dat_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output367 (.A(net367),
+ sky130_fd_sc_hd__buf_2 output367 (.A(net367),
     .X(m0_wbd_dat_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output368 (.A(net368),
+ sky130_fd_sc_hd__buf_2 output368 (.A(net368),
     .X(m0_wbd_dat_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output369 (.A(net369),
+ sky130_fd_sc_hd__buf_2 output369 (.A(net369),
     .X(m0_wbd_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output370 (.A(net370),
+ sky130_fd_sc_hd__buf_2 output370 (.A(net370),
     .X(m0_wbd_dat_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output371 (.A(net371),
+ sky130_fd_sc_hd__buf_2 output371 (.A(net371),
     .X(m0_wbd_dat_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output372 (.A(net372),
+ sky130_fd_sc_hd__buf_2 output372 (.A(net372),
     .X(m0_wbd_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output373 (.A(net373),
+ sky130_fd_sc_hd__buf_2 output373 (.A(net373),
     .X(m0_wbd_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output374 (.A(net374),
+ sky130_fd_sc_hd__buf_2 output374 (.A(net374),
     .X(m0_wbd_dat_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output375 (.A(net375),
+ sky130_fd_sc_hd__buf_2 output375 (.A(net375),
     .X(m0_wbd_dat_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output376 (.A(net376),
+ sky130_fd_sc_hd__buf_2 output376 (.A(net376),
     .X(m0_wbd_dat_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output377 (.A(net377),
+ sky130_fd_sc_hd__buf_2 output377 (.A(net377),
     .X(m0_wbd_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output378 (.A(net378),
+ sky130_fd_sc_hd__buf_2 output378 (.A(net378),
     .X(m0_wbd_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output379 (.A(net379),
+ sky130_fd_sc_hd__buf_2 output379 (.A(net379),
     .X(m1_wbd_ack_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output380 (.A(net380),
+ sky130_fd_sc_hd__buf_2 output380 (.A(net380),
     .X(m1_wbd_dat_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output381 (.A(net381),
+ sky130_fd_sc_hd__buf_2 output381 (.A(net381),
     .X(m1_wbd_dat_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output382 (.A(net382),
+ sky130_fd_sc_hd__buf_2 output382 (.A(net382),
     .X(m1_wbd_dat_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output383 (.A(net383),
+ sky130_fd_sc_hd__buf_2 output383 (.A(net383),
     .X(m1_wbd_dat_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output384 (.A(net384),
+ sky130_fd_sc_hd__buf_2 output384 (.A(net384),
     .X(m1_wbd_dat_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output385 (.A(net385),
+ sky130_fd_sc_hd__buf_2 output385 (.A(net385),
     .X(m1_wbd_dat_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output386 (.A(net386),
+ sky130_fd_sc_hd__buf_2 output386 (.A(net386),
     .X(m1_wbd_dat_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output387 (.A(net387),
+ sky130_fd_sc_hd__buf_2 output387 (.A(net387),
     .X(m1_wbd_dat_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output388 (.A(net388),
+ sky130_fd_sc_hd__buf_2 output388 (.A(net388),
     .X(m1_wbd_dat_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output389 (.A(net389),
+ sky130_fd_sc_hd__buf_2 output389 (.A(net389),
     .X(m1_wbd_dat_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output390 (.A(net390),
+ sky130_fd_sc_hd__buf_2 output390 (.A(net390),
     .X(m1_wbd_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output391 (.A(net391),
+ sky130_fd_sc_hd__buf_2 output391 (.A(net391),
     .X(m1_wbd_dat_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output392 (.A(net392),
+ sky130_fd_sc_hd__buf_2 output392 (.A(net392),
     .X(m1_wbd_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output393 (.A(net393),
+ sky130_fd_sc_hd__buf_2 output393 (.A(net393),
     .X(m1_wbd_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output394 (.A(net394),
+ sky130_fd_sc_hd__buf_2 output394 (.A(net394),
     .X(m1_wbd_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output395 (.A(net395),
+ sky130_fd_sc_hd__buf_2 output395 (.A(net395),
     .X(m1_wbd_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output396 (.A(net396),
+ sky130_fd_sc_hd__buf_2 output396 (.A(net396),
     .X(m1_wbd_dat_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output397 (.A(net397),
+ sky130_fd_sc_hd__buf_2 output397 (.A(net397),
     .X(m1_wbd_dat_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output398 (.A(net398),
+ sky130_fd_sc_hd__buf_2 output398 (.A(net398),
     .X(m1_wbd_dat_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output399 (.A(net399),
+ sky130_fd_sc_hd__buf_2 output399 (.A(net399),
     .X(m1_wbd_dat_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output400 (.A(net400),
+ sky130_fd_sc_hd__buf_2 output400 (.A(net400),
     .X(m1_wbd_dat_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output401 (.A(net401),
+ sky130_fd_sc_hd__buf_2 output401 (.A(net401),
     .X(m1_wbd_dat_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output402 (.A(net402),
+ sky130_fd_sc_hd__buf_2 output402 (.A(net402),
     .X(m1_wbd_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output403 (.A(net403),
+ sky130_fd_sc_hd__buf_2 output403 (.A(net403),
     .X(m1_wbd_dat_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output404 (.A(net404),
+ sky130_fd_sc_hd__buf_2 output404 (.A(net404),
     .X(m1_wbd_dat_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output405 (.A(net405),
+ sky130_fd_sc_hd__buf_2 output405 (.A(net405),
     .X(m1_wbd_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output406 (.A(net406),
+ sky130_fd_sc_hd__buf_2 output406 (.A(net406),
     .X(m1_wbd_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output407 (.A(net407),
+ sky130_fd_sc_hd__buf_2 output407 (.A(net407),
     .X(m1_wbd_dat_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output408 (.A(net408),
+ sky130_fd_sc_hd__buf_2 output408 (.A(net408),
     .X(m1_wbd_dat_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output409 (.A(net409),
+ sky130_fd_sc_hd__buf_2 output409 (.A(net409),
     .X(m1_wbd_dat_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output410 (.A(net410),
+ sky130_fd_sc_hd__buf_2 output410 (.A(net410),
     .X(m1_wbd_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output411 (.A(net411),
+ sky130_fd_sc_hd__buf_2 output411 (.A(net411),
     .X(m1_wbd_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output412 (.A(net412),
+ sky130_fd_sc_hd__buf_2 output412 (.A(net412),
     .X(m2_wbd_ack_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output413 (.A(net413),
+ sky130_fd_sc_hd__buf_2 output413 (.A(net413),
     .X(m2_wbd_dat_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output414 (.A(net414),
+ sky130_fd_sc_hd__buf_2 output414 (.A(net414),
     .X(m2_wbd_dat_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output415 (.A(net415),
+ sky130_fd_sc_hd__buf_2 output415 (.A(net415),
     .X(m2_wbd_dat_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output416 (.A(net416),
+ sky130_fd_sc_hd__buf_2 output416 (.A(net416),
     .X(m2_wbd_dat_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output417 (.A(net417),
+ sky130_fd_sc_hd__buf_2 output417 (.A(net417),
     .X(m2_wbd_dat_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output418 (.A(net418),
+ sky130_fd_sc_hd__buf_2 output418 (.A(net418),
     .X(m2_wbd_dat_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output419 (.A(net419),
+ sky130_fd_sc_hd__buf_2 output419 (.A(net419),
     .X(m2_wbd_dat_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output420 (.A(net420),
+ sky130_fd_sc_hd__buf_2 output420 (.A(net420),
     .X(m2_wbd_dat_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output421 (.A(net421),
+ sky130_fd_sc_hd__buf_2 output421 (.A(net421),
     .X(m2_wbd_dat_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output422 (.A(net422),
+ sky130_fd_sc_hd__buf_2 output422 (.A(net422),
     .X(m2_wbd_dat_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output423 (.A(net423),
+ sky130_fd_sc_hd__buf_2 output423 (.A(net423),
     .X(m2_wbd_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output424 (.A(net424),
+ sky130_fd_sc_hd__buf_2 output424 (.A(net424),
     .X(m2_wbd_dat_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output425 (.A(net425),
+ sky130_fd_sc_hd__buf_2 output425 (.A(net425),
     .X(m2_wbd_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output426 (.A(net426),
+ sky130_fd_sc_hd__buf_2 output426 (.A(net426),
     .X(m2_wbd_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output427 (.A(net427),
+ sky130_fd_sc_hd__buf_2 output427 (.A(net427),
     .X(m2_wbd_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output428 (.A(net428),
+ sky130_fd_sc_hd__buf_2 output428 (.A(net428),
     .X(m2_wbd_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output429 (.A(net429),
+ sky130_fd_sc_hd__buf_2 output429 (.A(net429),
     .X(m2_wbd_dat_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output430 (.A(net430),
+ sky130_fd_sc_hd__buf_2 output430 (.A(net430),
     .X(m2_wbd_dat_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output431 (.A(net431),
+ sky130_fd_sc_hd__buf_2 output431 (.A(net431),
     .X(m2_wbd_dat_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output432 (.A(net432),
+ sky130_fd_sc_hd__buf_2 output432 (.A(net432),
     .X(m2_wbd_dat_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output433 (.A(net433),
+ sky130_fd_sc_hd__buf_2 output433 (.A(net433),
     .X(m2_wbd_dat_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output434 (.A(net434),
+ sky130_fd_sc_hd__buf_2 output434 (.A(net434),
     .X(m2_wbd_dat_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output435 (.A(net435),
+ sky130_fd_sc_hd__buf_2 output435 (.A(net435),
     .X(m2_wbd_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output436 (.A(net436),
+ sky130_fd_sc_hd__buf_2 output436 (.A(net436),
     .X(m2_wbd_dat_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output437 (.A(net437),
+ sky130_fd_sc_hd__buf_2 output437 (.A(net437),
     .X(m2_wbd_dat_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output438 (.A(net438),
+ sky130_fd_sc_hd__buf_2 output438 (.A(net438),
     .X(m2_wbd_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output439 (.A(net439),
+ sky130_fd_sc_hd__buf_2 output439 (.A(net439),
     .X(m2_wbd_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output440 (.A(net440),
+ sky130_fd_sc_hd__buf_2 output440 (.A(net440),
     .X(m2_wbd_dat_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output441 (.A(net441),
+ sky130_fd_sc_hd__buf_2 output441 (.A(net441),
     .X(m2_wbd_dat_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output442 (.A(net442),
+ sky130_fd_sc_hd__buf_2 output442 (.A(net442),
     .X(m2_wbd_dat_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output443 (.A(net443),
+ sky130_fd_sc_hd__buf_2 output443 (.A(net443),
     .X(m2_wbd_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output444 (.A(net444),
+ sky130_fd_sc_hd__buf_2 output444 (.A(net444),
     .X(m2_wbd_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output445 (.A(net445),
+ sky130_fd_sc_hd__buf_2 output445 (.A(net445),
     .X(s0_wbd_adr_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output446 (.A(net446),
+ sky130_fd_sc_hd__buf_2 output446 (.A(net446),
     .X(s0_wbd_adr_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output447 (.A(net447),
+ sky130_fd_sc_hd__buf_2 output447 (.A(net447),
     .X(s0_wbd_adr_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output448 (.A(net448),
+ sky130_fd_sc_hd__buf_2 output448 (.A(net448),
     .X(s0_wbd_adr_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output449 (.A(net449),
+ sky130_fd_sc_hd__buf_2 output449 (.A(net449),
     .X(s0_wbd_adr_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output450 (.A(net450),
+ sky130_fd_sc_hd__buf_2 output450 (.A(net450),
     .X(s0_wbd_adr_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output451 (.A(net451),
+ sky130_fd_sc_hd__buf_2 output451 (.A(net451),
     .X(s0_wbd_adr_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output452 (.A(net452),
+ sky130_fd_sc_hd__buf_2 output452 (.A(net452),
     .X(s0_wbd_adr_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output453 (.A(net453),
+ sky130_fd_sc_hd__buf_2 output453 (.A(net453),
     .X(s0_wbd_adr_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output454 (.A(net454),
+ sky130_fd_sc_hd__buf_2 output454 (.A(net454),
     .X(s0_wbd_adr_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output455 (.A(net455),
+ sky130_fd_sc_hd__buf_2 output455 (.A(net455),
     .X(s0_wbd_adr_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output456 (.A(net456),
+ sky130_fd_sc_hd__buf_2 output456 (.A(net456),
     .X(s0_wbd_adr_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output457 (.A(net457),
+ sky130_fd_sc_hd__buf_2 output457 (.A(net457),
     .X(s0_wbd_adr_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output458 (.A(net458),
+ sky130_fd_sc_hd__buf_2 output458 (.A(net458),
     .X(s0_wbd_adr_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output459 (.A(net459),
+ sky130_fd_sc_hd__buf_2 output459 (.A(net459),
     .X(s0_wbd_adr_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output460 (.A(net460),
+ sky130_fd_sc_hd__buf_2 output460 (.A(net460),
     .X(s0_wbd_adr_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output461 (.A(net461),
+ sky130_fd_sc_hd__buf_2 output461 (.A(net461),
     .X(s0_wbd_adr_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output462 (.A(net462),
+ sky130_fd_sc_hd__buf_2 output462 (.A(net462),
     .X(s0_wbd_adr_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output463 (.A(net463),
+ sky130_fd_sc_hd__buf_2 output463 (.A(net463),
     .X(s0_wbd_adr_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output464 (.A(net464),
+ sky130_fd_sc_hd__buf_2 output464 (.A(net464),
     .X(s0_wbd_adr_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output465 (.A(net465),
+ sky130_fd_sc_hd__buf_2 output465 (.A(net465),
     .X(s0_wbd_adr_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output466 (.A(net466),
+ sky130_fd_sc_hd__buf_2 output466 (.A(net466),
     .X(s0_wbd_adr_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output467 (.A(net467),
+ sky130_fd_sc_hd__buf_2 output467 (.A(net467),
     .X(s0_wbd_adr_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output468 (.A(net468),
+ sky130_fd_sc_hd__buf_2 output468 (.A(net468),
     .X(s0_wbd_adr_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output469 (.A(net469),
+ sky130_fd_sc_hd__buf_2 output469 (.A(net469),
     .X(s0_wbd_adr_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output470 (.A(net470),
+ sky130_fd_sc_hd__buf_2 output470 (.A(net470),
     .X(s0_wbd_adr_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output471 (.A(net471),
+ sky130_fd_sc_hd__buf_2 output471 (.A(net471),
     .X(s0_wbd_adr_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output472 (.A(net472),
+ sky130_fd_sc_hd__buf_2 output472 (.A(net472),
     .X(s0_wbd_adr_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output473 (.A(net473),
+ sky130_fd_sc_hd__buf_2 output473 (.A(net473),
     .X(s0_wbd_adr_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output474 (.A(net474),
+ sky130_fd_sc_hd__buf_2 output474 (.A(net474),
     .X(s0_wbd_adr_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output475 (.A(net475),
+ sky130_fd_sc_hd__buf_2 output475 (.A(net475),
     .X(s0_wbd_cyc_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output476 (.A(net476),
+ sky130_fd_sc_hd__buf_2 output476 (.A(net476),
     .X(s0_wbd_dat_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output477 (.A(net477),
+ sky130_fd_sc_hd__buf_2 output477 (.A(net477),
     .X(s0_wbd_dat_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output478 (.A(net478),
+ sky130_fd_sc_hd__buf_2 output478 (.A(net478),
     .X(s0_wbd_dat_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output479 (.A(net479),
+ sky130_fd_sc_hd__buf_2 output479 (.A(net479),
     .X(s0_wbd_dat_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output480 (.A(net480),
+ sky130_fd_sc_hd__buf_2 output480 (.A(net480),
     .X(s0_wbd_dat_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output481 (.A(net481),
+ sky130_fd_sc_hd__buf_2 output481 (.A(net481),
     .X(s0_wbd_dat_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output482 (.A(net482),
+ sky130_fd_sc_hd__buf_2 output482 (.A(net482),
     .X(s0_wbd_dat_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output483 (.A(net483),
+ sky130_fd_sc_hd__buf_2 output483 (.A(net483),
     .X(s0_wbd_dat_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output484 (.A(net484),
+ sky130_fd_sc_hd__buf_2 output484 (.A(net484),
     .X(s0_wbd_dat_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output485 (.A(net485),
+ sky130_fd_sc_hd__buf_2 output485 (.A(net485),
     .X(s0_wbd_dat_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output486 (.A(net486),
+ sky130_fd_sc_hd__buf_2 output486 (.A(net486),
     .X(s0_wbd_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output487 (.A(net487),
+ sky130_fd_sc_hd__buf_2 output487 (.A(net487),
     .X(s0_wbd_dat_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output488 (.A(net488),
+ sky130_fd_sc_hd__buf_2 output488 (.A(net488),
     .X(s0_wbd_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output489 (.A(net489),
+ sky130_fd_sc_hd__buf_2 output489 (.A(net489),
     .X(s0_wbd_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output490 (.A(net490),
+ sky130_fd_sc_hd__buf_2 output490 (.A(net490),
     .X(s0_wbd_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output491 (.A(net491),
+ sky130_fd_sc_hd__buf_2 output491 (.A(net491),
     .X(s0_wbd_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output492 (.A(net492),
+ sky130_fd_sc_hd__buf_2 output492 (.A(net492),
     .X(s0_wbd_dat_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output493 (.A(net493),
+ sky130_fd_sc_hd__buf_2 output493 (.A(net493),
     .X(s0_wbd_dat_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output494 (.A(net494),
+ sky130_fd_sc_hd__buf_2 output494 (.A(net494),
     .X(s0_wbd_dat_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output495 (.A(net495),
+ sky130_fd_sc_hd__buf_2 output495 (.A(net495),
     .X(s0_wbd_dat_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output496 (.A(net496),
+ sky130_fd_sc_hd__buf_2 output496 (.A(net496),
     .X(s0_wbd_dat_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output497 (.A(net497),
+ sky130_fd_sc_hd__buf_2 output497 (.A(net497),
     .X(s0_wbd_dat_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output498 (.A(net498),
+ sky130_fd_sc_hd__buf_2 output498 (.A(net498),
     .X(s0_wbd_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output499 (.A(net499),
+ sky130_fd_sc_hd__buf_2 output499 (.A(net499),
     .X(s0_wbd_dat_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output500 (.A(net500),
+ sky130_fd_sc_hd__buf_2 output500 (.A(net500),
     .X(s0_wbd_dat_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output501 (.A(net501),
+ sky130_fd_sc_hd__buf_2 output501 (.A(net501),
     .X(s0_wbd_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output502 (.A(net502),
+ sky130_fd_sc_hd__buf_2 output502 (.A(net502),
     .X(s0_wbd_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output503 (.A(net503),
+ sky130_fd_sc_hd__buf_2 output503 (.A(net503),
     .X(s0_wbd_dat_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output504 (.A(net504),
+ sky130_fd_sc_hd__buf_2 output504 (.A(net504),
     .X(s0_wbd_dat_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output505 (.A(net505),
+ sky130_fd_sc_hd__buf_2 output505 (.A(net505),
     .X(s0_wbd_dat_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output506 (.A(net506),
+ sky130_fd_sc_hd__buf_2 output506 (.A(net506),
     .X(s0_wbd_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output507 (.A(net507),
+ sky130_fd_sc_hd__buf_2 output507 (.A(net507),
     .X(s0_wbd_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output508 (.A(net508),
+ sky130_fd_sc_hd__buf_2 output508 (.A(net508),
     .X(s0_wbd_sel_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output509 (.A(net509),
+ sky130_fd_sc_hd__buf_2 output509 (.A(net509),
     .X(s0_wbd_sel_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output510 (.A(net510),
+ sky130_fd_sc_hd__buf_2 output510 (.A(net510),
     .X(s0_wbd_sel_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output511 (.A(net511),
+ sky130_fd_sc_hd__buf_2 output511 (.A(net511),
     .X(s0_wbd_sel_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output512 (.A(net512),
+ sky130_fd_sc_hd__buf_2 output512 (.A(net512),
     .X(s0_wbd_stb_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output513 (.A(net513),
+ sky130_fd_sc_hd__buf_2 output513 (.A(net513),
     .X(s0_wbd_we_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output514 (.A(net514),
+ sky130_fd_sc_hd__buf_2 output514 (.A(net514),
     .X(s1_wbd_adr_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output515 (.A(net515),
+ sky130_fd_sc_hd__buf_2 output515 (.A(net515),
     .X(s1_wbd_adr_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output516 (.A(net516),
+ sky130_fd_sc_hd__buf_2 output516 (.A(net516),
     .X(s1_wbd_adr_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output517 (.A(net517),
+ sky130_fd_sc_hd__buf_2 output517 (.A(net517),
     .X(s1_wbd_adr_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output518 (.A(net518),
+ sky130_fd_sc_hd__buf_2 output518 (.A(net518),
     .X(s1_wbd_adr_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output519 (.A(net519),
+ sky130_fd_sc_hd__buf_2 output519 (.A(net519),
     .X(s1_wbd_adr_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output520 (.A(net520),
+ sky130_fd_sc_hd__buf_2 output520 (.A(net520),
     .X(s1_wbd_adr_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output521 (.A(net521),
+ sky130_fd_sc_hd__buf_2 output521 (.A(net521),
     .X(s1_wbd_adr_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output522 (.A(net522),
+ sky130_fd_sc_hd__buf_2 output522 (.A(net522),
     .X(s1_wbd_adr_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output523 (.A(net523),
+ sky130_fd_sc_hd__buf_2 output523 (.A(net523),
     .X(s1_wbd_adr_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output524 (.A(net524),
+ sky130_fd_sc_hd__buf_2 output524 (.A(net524),
     .X(s1_wbd_adr_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output525 (.A(net525),
+ sky130_fd_sc_hd__buf_2 output525 (.A(net525),
     .X(s1_wbd_adr_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output526 (.A(net526),
+ sky130_fd_sc_hd__buf_2 output526 (.A(net526),
     .X(s1_wbd_adr_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output527 (.A(net527),
+ sky130_fd_sc_hd__buf_2 output527 (.A(net527),
     .X(s1_wbd_adr_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output528 (.A(net528),
+ sky130_fd_sc_hd__buf_2 output528 (.A(net528),
     .X(s1_wbd_adr_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output529 (.A(net529),
+ sky130_fd_sc_hd__buf_2 output529 (.A(net529),
     .X(s1_wbd_adr_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output530 (.A(net530),
+ sky130_fd_sc_hd__buf_2 output530 (.A(net530),
     .X(s1_wbd_adr_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output531 (.A(net531),
+ sky130_fd_sc_hd__buf_2 output531 (.A(net531),
     .X(s1_wbd_adr_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output532 (.A(net532),
+ sky130_fd_sc_hd__buf_2 output532 (.A(net532),
     .X(s1_wbd_adr_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output533 (.A(net533),
+ sky130_fd_sc_hd__buf_2 output533 (.A(net533),
     .X(s1_wbd_adr_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output534 (.A(net534),
+ sky130_fd_sc_hd__buf_2 output534 (.A(net534),
     .X(s1_wbd_adr_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output535 (.A(net535),
+ sky130_fd_sc_hd__buf_2 output535 (.A(net535),
     .X(s1_wbd_adr_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output536 (.A(net536),
+ sky130_fd_sc_hd__buf_2 output536 (.A(net536),
     .X(s1_wbd_adr_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output537 (.A(net537),
+ sky130_fd_sc_hd__buf_2 output537 (.A(net537),
     .X(s1_wbd_adr_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output538 (.A(net538),
+ sky130_fd_sc_hd__buf_2 output538 (.A(net538),
     .X(s1_wbd_adr_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output539 (.A(net539),
+ sky130_fd_sc_hd__buf_2 output539 (.A(net539),
     .X(s1_wbd_adr_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output540 (.A(net540),
+ sky130_fd_sc_hd__buf_2 output540 (.A(net540),
     .X(s1_wbd_cyc_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output541 (.A(net541),
+ sky130_fd_sc_hd__buf_2 output541 (.A(net541),
     .X(s1_wbd_dat_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output542 (.A(net542),
+ sky130_fd_sc_hd__buf_2 output542 (.A(net542),
     .X(s1_wbd_dat_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output543 (.A(net543),
+ sky130_fd_sc_hd__buf_2 output543 (.A(net543),
     .X(s1_wbd_dat_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output544 (.A(net544),
+ sky130_fd_sc_hd__buf_2 output544 (.A(net544),
     .X(s1_wbd_dat_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output545 (.A(net545),
+ sky130_fd_sc_hd__buf_2 output545 (.A(net545),
     .X(s1_wbd_dat_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output546 (.A(net546),
+ sky130_fd_sc_hd__buf_2 output546 (.A(net546),
     .X(s1_wbd_dat_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output547 (.A(net547),
+ sky130_fd_sc_hd__buf_2 output547 (.A(net547),
     .X(s1_wbd_dat_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output548 (.A(net548),
+ sky130_fd_sc_hd__buf_2 output548 (.A(net548),
     .X(s1_wbd_dat_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output549 (.A(net549),
+ sky130_fd_sc_hd__buf_2 output549 (.A(net549),
     .X(s1_wbd_dat_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output550 (.A(net550),
+ sky130_fd_sc_hd__buf_2 output550 (.A(net550),
     .X(s1_wbd_dat_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output551 (.A(net551),
+ sky130_fd_sc_hd__buf_2 output551 (.A(net551),
     .X(s1_wbd_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output552 (.A(net552),
+ sky130_fd_sc_hd__buf_2 output552 (.A(net552),
     .X(s1_wbd_dat_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output553 (.A(net553),
+ sky130_fd_sc_hd__buf_2 output553 (.A(net553),
     .X(s1_wbd_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output554 (.A(net554),
+ sky130_fd_sc_hd__buf_2 output554 (.A(net554),
     .X(s1_wbd_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output555 (.A(net555),
+ sky130_fd_sc_hd__buf_2 output555 (.A(net555),
     .X(s1_wbd_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output556 (.A(net556),
+ sky130_fd_sc_hd__buf_2 output556 (.A(net556),
     .X(s1_wbd_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output557 (.A(net557),
+ sky130_fd_sc_hd__buf_2 output557 (.A(net557),
     .X(s1_wbd_dat_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output558 (.A(net558),
+ sky130_fd_sc_hd__buf_2 output558 (.A(net558),
     .X(s1_wbd_dat_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output559 (.A(net559),
+ sky130_fd_sc_hd__buf_2 output559 (.A(net559),
     .X(s1_wbd_dat_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output560 (.A(net560),
+ sky130_fd_sc_hd__buf_2 output560 (.A(net560),
     .X(s1_wbd_dat_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output561 (.A(net561),
+ sky130_fd_sc_hd__buf_2 output561 (.A(net561),
     .X(s1_wbd_dat_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output562 (.A(net562),
+ sky130_fd_sc_hd__buf_2 output562 (.A(net562),
     .X(s1_wbd_dat_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output563 (.A(net563),
+ sky130_fd_sc_hd__buf_2 output563 (.A(net563),
     .X(s1_wbd_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output564 (.A(net564),
+ sky130_fd_sc_hd__buf_2 output564 (.A(net564),
     .X(s1_wbd_dat_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output565 (.A(net565),
+ sky130_fd_sc_hd__buf_2 output565 (.A(net565),
     .X(s1_wbd_dat_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output566 (.A(net566),
+ sky130_fd_sc_hd__buf_2 output566 (.A(net566),
     .X(s1_wbd_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output567 (.A(net567),
+ sky130_fd_sc_hd__buf_2 output567 (.A(net567),
     .X(s1_wbd_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output568 (.A(net568),
+ sky130_fd_sc_hd__buf_2 output568 (.A(net568),
     .X(s1_wbd_dat_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output569 (.A(net569),
+ sky130_fd_sc_hd__buf_2 output569 (.A(net569),
     .X(s1_wbd_dat_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output570 (.A(net570),
+ sky130_fd_sc_hd__buf_2 output570 (.A(net570),
     .X(s1_wbd_dat_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output571 (.A(net571),
+ sky130_fd_sc_hd__buf_2 output571 (.A(net571),
     .X(s1_wbd_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output572 (.A(net572),
+ sky130_fd_sc_hd__buf_2 output572 (.A(net572),
     .X(s1_wbd_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output573 (.A(net573),
+ sky130_fd_sc_hd__buf_2 output573 (.A(net573),
     .X(s1_wbd_sel_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output574 (.A(net574),
+ sky130_fd_sc_hd__buf_2 output574 (.A(net574),
     .X(s1_wbd_sel_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output575 (.A(net575),
+ sky130_fd_sc_hd__buf_2 output575 (.A(net575),
     .X(s1_wbd_sel_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output576 (.A(net576),
+ sky130_fd_sc_hd__buf_2 output576 (.A(net576),
     .X(s1_wbd_sel_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output577 (.A(net577),
+ sky130_fd_sc_hd__buf_2 output577 (.A(net577),
     .X(s1_wbd_stb_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output578 (.A(net578),
+ sky130_fd_sc_hd__buf_2 output578 (.A(net578),
     .X(s1_wbd_we_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output579 (.A(net579),
+ sky130_fd_sc_hd__buf_2 output579 (.A(net579),
     .X(s2_wbd_adr_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output580 (.A(net580),
+ sky130_fd_sc_hd__buf_2 output580 (.A(net580),
     .X(s2_wbd_adr_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output581 (.A(net581),
+ sky130_fd_sc_hd__buf_2 output581 (.A(net581),
     .X(s2_wbd_adr_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output582 (.A(net582),
+ sky130_fd_sc_hd__buf_2 output582 (.A(net582),
     .X(s2_wbd_adr_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output583 (.A(net583),
+ sky130_fd_sc_hd__buf_2 output583 (.A(net583),
     .X(s2_wbd_adr_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output584 (.A(net584),
+ sky130_fd_sc_hd__buf_2 output584 (.A(net584),
     .X(s2_wbd_adr_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output585 (.A(net585),
+ sky130_fd_sc_hd__buf_2 output585 (.A(net585),
     .X(s2_wbd_cyc_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output586 (.A(net586),
+ sky130_fd_sc_hd__buf_2 output586 (.A(net586),
     .X(s2_wbd_dat_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output587 (.A(net587),
+ sky130_fd_sc_hd__buf_2 output587 (.A(net587),
     .X(s2_wbd_dat_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output588 (.A(net588),
+ sky130_fd_sc_hd__buf_2 output588 (.A(net588),
     .X(s2_wbd_dat_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output589 (.A(net589),
+ sky130_fd_sc_hd__buf_2 output589 (.A(net589),
     .X(s2_wbd_dat_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output590 (.A(net590),
+ sky130_fd_sc_hd__buf_2 output590 (.A(net590),
     .X(s2_wbd_dat_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output591 (.A(net591),
+ sky130_fd_sc_hd__buf_2 output591 (.A(net591),
     .X(s2_wbd_dat_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output592 (.A(net592),
+ sky130_fd_sc_hd__buf_2 output592 (.A(net592),
     .X(s2_wbd_dat_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output593 (.A(net593),
+ sky130_fd_sc_hd__buf_2 output593 (.A(net593),
     .X(s2_wbd_dat_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output594 (.A(net594),
+ sky130_fd_sc_hd__buf_2 output594 (.A(net594),
     .X(s2_wbd_dat_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output595 (.A(net595),
+ sky130_fd_sc_hd__buf_2 output595 (.A(net595),
     .X(s2_wbd_dat_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output596 (.A(net596),
+ sky130_fd_sc_hd__buf_2 output596 (.A(net596),
     .X(s2_wbd_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output597 (.A(net597),
+ sky130_fd_sc_hd__buf_2 output597 (.A(net597),
     .X(s2_wbd_dat_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output598 (.A(net598),
+ sky130_fd_sc_hd__buf_2 output598 (.A(net598),
     .X(s2_wbd_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output599 (.A(net599),
+ sky130_fd_sc_hd__buf_2 output599 (.A(net599),
     .X(s2_wbd_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output600 (.A(net600),
+ sky130_fd_sc_hd__buf_2 output600 (.A(net600),
     .X(s2_wbd_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output601 (.A(net601),
+ sky130_fd_sc_hd__buf_2 output601 (.A(net601),
     .X(s2_wbd_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output602 (.A(net602),
+ sky130_fd_sc_hd__buf_2 output602 (.A(net602),
     .X(s2_wbd_dat_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output603 (.A(net603),
+ sky130_fd_sc_hd__buf_2 output603 (.A(net603),
     .X(s2_wbd_dat_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output604 (.A(net604),
+ sky130_fd_sc_hd__buf_2 output604 (.A(net604),
     .X(s2_wbd_dat_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output605 (.A(net605),
+ sky130_fd_sc_hd__buf_2 output605 (.A(net605),
     .X(s2_wbd_dat_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output606 (.A(net606),
+ sky130_fd_sc_hd__buf_2 output606 (.A(net606),
     .X(s2_wbd_dat_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output607 (.A(net607),
+ sky130_fd_sc_hd__buf_2 output607 (.A(net607),
     .X(s2_wbd_dat_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output608 (.A(net608),
+ sky130_fd_sc_hd__buf_2 output608 (.A(net608),
     .X(s2_wbd_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output609 (.A(net609),
+ sky130_fd_sc_hd__buf_2 output609 (.A(net609),
     .X(s2_wbd_dat_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output610 (.A(net610),
+ sky130_fd_sc_hd__buf_2 output610 (.A(net610),
     .X(s2_wbd_dat_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output611 (.A(net611),
+ sky130_fd_sc_hd__buf_2 output611 (.A(net611),
     .X(s2_wbd_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output612 (.A(net612),
+ sky130_fd_sc_hd__buf_2 output612 (.A(net612),
     .X(s2_wbd_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output613 (.A(net613),
+ sky130_fd_sc_hd__buf_2 output613 (.A(net613),
     .X(s2_wbd_dat_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output614 (.A(net614),
+ sky130_fd_sc_hd__buf_2 output614 (.A(net614),
     .X(s2_wbd_dat_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output615 (.A(net615),
+ sky130_fd_sc_hd__buf_2 output615 (.A(net615),
     .X(s2_wbd_dat_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output616 (.A(net616),
+ sky130_fd_sc_hd__buf_2 output616 (.A(net616),
     .X(s2_wbd_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output617 (.A(net617),
+ sky130_fd_sc_hd__buf_2 output617 (.A(net617),
     .X(s2_wbd_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output618 (.A(net618),
+ sky130_fd_sc_hd__buf_2 output618 (.A(net618),
     .X(s2_wbd_sel_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output619 (.A(net619),
+ sky130_fd_sc_hd__buf_2 output619 (.A(net619),
     .X(s2_wbd_sel_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output620 (.A(net620),
+ sky130_fd_sc_hd__buf_2 output620 (.A(net620),
     .X(s2_wbd_sel_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output621 (.A(net621),
+ sky130_fd_sc_hd__buf_2 output621 (.A(net621),
     .X(s2_wbd_sel_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output622 (.A(net622),
+ sky130_fd_sc_hd__buf_2 output622 (.A(net622),
     .X(s2_wbd_stb_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output623 (.A(net623),
+ sky130_fd_sc_hd__buf_2 output623 (.A(net623),
     .X(s2_wbd_we_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output624 (.A(net624),
+ sky130_fd_sc_hd__buf_2 output624 (.A(net624),
     .X(s3_wbd_adr_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output625 (.A(net625),
+ sky130_fd_sc_hd__buf_2 output625 (.A(net625),
     .X(s3_wbd_adr_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output626 (.A(net626),
+ sky130_fd_sc_hd__buf_2 output626 (.A(net626),
     .X(s3_wbd_adr_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output627 (.A(net627),
+ sky130_fd_sc_hd__buf_2 output627 (.A(net627),
     .X(s3_wbd_adr_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output628 (.A(net628),
+ sky130_fd_sc_hd__buf_2 output628 (.A(net628),
     .X(s3_wbd_adr_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output629 (.A(net629),
+ sky130_fd_sc_hd__buf_2 output629 (.A(net629),
     .X(s3_wbd_adr_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output630 (.A(net630),
+ sky130_fd_sc_hd__buf_2 output630 (.A(net630),
     .X(s3_wbd_cyc_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output631 (.A(net631),
+ sky130_fd_sc_hd__buf_2 output631 (.A(net631),
     .X(s3_wbd_dat_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output632 (.A(net632),
+ sky130_fd_sc_hd__buf_2 output632 (.A(net632),
     .X(s3_wbd_dat_o[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output633 (.A(net633),
+ sky130_fd_sc_hd__buf_2 output633 (.A(net633),
     .X(s3_wbd_dat_o[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output634 (.A(net634),
+ sky130_fd_sc_hd__buf_2 output634 (.A(net634),
     .X(s3_wbd_dat_o[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output635 (.A(net635),
+ sky130_fd_sc_hd__buf_2 output635 (.A(net635),
     .X(s3_wbd_dat_o[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output636 (.A(net636),
+ sky130_fd_sc_hd__buf_2 output636 (.A(net636),
     .X(s3_wbd_dat_o[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output637 (.A(net637),
+ sky130_fd_sc_hd__buf_2 output637 (.A(net637),
     .X(s3_wbd_dat_o[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output638 (.A(net638),
+ sky130_fd_sc_hd__buf_2 output638 (.A(net638),
     .X(s3_wbd_dat_o[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output639 (.A(net639),
+ sky130_fd_sc_hd__buf_2 output639 (.A(net639),
     .X(s3_wbd_dat_o[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output640 (.A(net640),
+ sky130_fd_sc_hd__buf_2 output640 (.A(net640),
     .X(s3_wbd_dat_o[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output641 (.A(net641),
+ sky130_fd_sc_hd__buf_2 output641 (.A(net641),
     .X(s3_wbd_dat_o[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output642 (.A(net642),
+ sky130_fd_sc_hd__buf_2 output642 (.A(net642),
     .X(s3_wbd_dat_o[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output643 (.A(net643),
+ sky130_fd_sc_hd__buf_2 output643 (.A(net643),
     .X(s3_wbd_dat_o[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output644 (.A(net644),
+ sky130_fd_sc_hd__buf_2 output644 (.A(net644),
     .X(s3_wbd_dat_o[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output645 (.A(net645),
+ sky130_fd_sc_hd__buf_2 output645 (.A(net645),
     .X(s3_wbd_dat_o[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output646 (.A(net646),
+ sky130_fd_sc_hd__buf_2 output646 (.A(net646),
     .X(s3_wbd_dat_o[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output647 (.A(net647),
+ sky130_fd_sc_hd__buf_2 output647 (.A(net647),
     .X(s3_wbd_dat_o[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output648 (.A(net648),
+ sky130_fd_sc_hd__buf_2 output648 (.A(net648),
     .X(s3_wbd_dat_o[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output649 (.A(net649),
+ sky130_fd_sc_hd__buf_2 output649 (.A(net649),
     .X(s3_wbd_dat_o[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output650 (.A(net650),
+ sky130_fd_sc_hd__buf_2 output650 (.A(net650),
     .X(s3_wbd_dat_o[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output651 (.A(net651),
+ sky130_fd_sc_hd__buf_2 output651 (.A(net651),
     .X(s3_wbd_dat_o[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output652 (.A(net652),
+ sky130_fd_sc_hd__buf_2 output652 (.A(net652),
     .X(s3_wbd_dat_o[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output653 (.A(net653),
+ sky130_fd_sc_hd__buf_2 output653 (.A(net653),
     .X(s3_wbd_dat_o[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output654 (.A(net654),
+ sky130_fd_sc_hd__buf_2 output654 (.A(net654),
     .X(s3_wbd_dat_o[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output655 (.A(net655),
+ sky130_fd_sc_hd__buf_2 output655 (.A(net655),
     .X(s3_wbd_dat_o[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output656 (.A(net656),
+ sky130_fd_sc_hd__buf_2 output656 (.A(net656),
     .X(s3_wbd_dat_o[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output657 (.A(net657),
+ sky130_fd_sc_hd__buf_2 output657 (.A(net657),
     .X(s3_wbd_dat_o[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output658 (.A(net658),
+ sky130_fd_sc_hd__buf_2 output658 (.A(net658),
     .X(s3_wbd_dat_o[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output659 (.A(net659),
+ sky130_fd_sc_hd__buf_2 output659 (.A(net659),
     .X(s3_wbd_dat_o[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output660 (.A(net660),
+ sky130_fd_sc_hd__buf_2 output660 (.A(net660),
     .X(s3_wbd_dat_o[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output661 (.A(net661),
+ sky130_fd_sc_hd__buf_2 output661 (.A(net661),
     .X(s3_wbd_dat_o[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output662 (.A(net662),
+ sky130_fd_sc_hd__buf_2 output662 (.A(net662),
     .X(s3_wbd_dat_o[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output663 (.A(net663),
-    .X(s3_wbd_sel_o),
+ sky130_fd_sc_hd__buf_2 output663 (.A(net663),
+    .X(s3_wbd_sel_o[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output664 (.A(net664),
+ sky130_fd_sc_hd__buf_2 output664 (.A(net664),
+    .X(s3_wbd_sel_o[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 output665 (.A(net665),
+    .X(s3_wbd_sel_o[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 output666 (.A(net666),
+    .X(s3_wbd_sel_o[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 output667 (.A(net667),
     .X(s3_wbd_stb_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 output665 (.A(net665),
+ sky130_fd_sc_hd__buf_2 output668 (.A(net668),
     .X(s3_wbd_we_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 output666 (.A(net666),
+ sky130_fd_sc_hd__buf_6 output669 (.A(net669),
     .X(wbd_clk_wi),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer1 (.A(net677),
-    .X(net669),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 rebuffer10 (.A(\u_skew_wi.clk_d13 ),
-    .X(net678),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer11 (.A(\u_skew_wi.clk_d11 ),
-    .X(net679),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer12 (.A(net681),
-    .X(net680),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer13 (.A(net682),
-    .X(net681),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer14 (.A(net683),
-    .X(net682),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer15 (.A(net693),
-    .X(net683),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer16 (.A(net690),
-    .X(net684),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer17 (.A(\u_skew_wi.clk_d12 ),
-    .X(net685),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer18 (.A(\u_skew_wi.clk_d7 ),
-    .X(net689),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer19 (.A(net691),
+ sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer1 (.A(\u_skew_wi.clk_d12 ),
     .X(net690),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer2 (.A(net671),
-    .X(net670),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer10 (.A(\u_skew_wi.clk_d1 ),
+    .X(net681),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer20 (.A(net692),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer11 (.A(\u_skew_wi.clk_d11 ),
+    .X(net682),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer12 (.A(\u_skew_wi.clk_d13 ),
+    .X(net683),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer13 (.A(\u_skew_wi.clk_d5 ),
+    .X(net684),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer14 (.A(net691),
+    .X(net685),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer15 (.A(net687),
+    .X(net686),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer16 (.A(\u_skew_wi.clk_d9 ),
+    .X(net687),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer17 (.A(net689),
+    .X(net688),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer18 (.A(net692),
+    .X(net689),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer19 (.A(\u_skew_wi.clk_d8 ),
     .X(net691),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer21 (.A(\u_skew_wi.clk_d6 ),
-    .X(net692),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer22 (.A(\u_skew_wi.clk_d4 ),
-    .X(net693),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer3 (.A(net672),
-    .X(net671),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer4 (.A(net689),
-    .X(net672),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer5 (.A(\u_skew_wi.clk_d1 ),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer2 (.A(net674),
     .X(net673),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer6 (.A(\u_skew_wi.clk_d3 ),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer20 (.A(\u_skew_wi.clk_d3 ),
+    .X(net692),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer3 (.A(net675),
     .X(net674),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer7 (.A(\u_skew_wi.clk_d5 ),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer4 (.A(\u_skew_wi.clk_d7 ),
     .X(net675),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer8 (.A(\u_skew_wi.clk_d9 ),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer5 (.A(net677),
     .X(net676),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dlygate4sd1_1 rebuffer9 (.A(\u_skew_wi.clk_d8 ),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer6 (.A(net678),
     .X(net677),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_12 repeater667 (.A(_0178_),
-    .X(net667),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer7 (.A(net679),
+    .X(net678),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_16 repeater668 (.A(net687),
-    .X(net668),
+ sky130_fd_sc_hd__dlygate4sd1_1 rebuffer8 (.A(net680),
+    .X(net679),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_6 \u_skew_wi.clkbuf_1  (.A(net345),
+ sky130_fd_sc_hd__dlymetal6s2s_1 rebuffer9 (.A(\u_skew_wi.clk_d4 ),
+    .X(net680),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_12 repeater670 (.A(_0178_),
+    .X(net670),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_16 repeater671 (.A(net212),
+    .X(net671),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkbuf_1 \u_skew_wi.clkbuf_1  (.A(net345),
     .X(\u_skew_wi.clk_d1 ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -132575,19 +131884,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 \u_skew_wi.clkbuf_3  (.A(\u_skew_wi.clk_d2 ),
+ sky130_fd_sc_hd__clkbuf_1 \u_skew_wi.clkbuf_3  (.A(\u_skew_wi.clk_d2 ),
     .X(\u_skew_wi.clk_d3 ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_1 \u_skew_wi.clkbuf_4  (.A(\u_skew_wi.clk_d3 ),
+ sky130_fd_sc_hd__buf_2 \u_skew_wi.clkbuf_4  (.A(\u_skew_wi.clk_d3 ),
     .X(\u_skew_wi.clk_d4 ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 \u_skew_wi.clkbuf_5  (.A(\u_skew_wi.clk_d4 ),
+ sky130_fd_sc_hd__clkbuf_1 \u_skew_wi.clkbuf_5  (.A(\u_skew_wi.clk_d4 ),
     .X(\u_skew_wi.clk_d5 ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -132599,7 +131908,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 \u_skew_wi.clkbuf_7  (.A(\u_skew_wi.clk_d6 ),
+ sky130_fd_sc_hd__buf_2 \u_skew_wi.clkbuf_7  (.A(\u_skew_wi.clk_d6 ),
     .X(\u_skew_wi.clk_d7 ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -132611,14 +131920,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 \u_skew_wi.clkbuf_9  (.A(\u_skew_wi.clk_d8 ),
+ sky130_fd_sc_hd__clkbuf_1 \u_skew_wi.clkbuf_9  (.A(\u_skew_wi.clk_d8 ),
     .X(\u_skew_wi.clk_d9 ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
  sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_00  (.A0(net345),
-    .A1(net673),
+    .A1(net681),
     .S(net1),
     .X(\u_skew_wi.d00 ),
     .VGND(vssd1),
@@ -132626,31 +131935,31 @@
     .VPB(vccd1),
     .VPWR(vccd1));
  sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_01  (.A0(\u_skew_wi.clk_d2 ),
-    .A1(net674),
+    .A1(net688),
     .S(net1),
     .X(\u_skew_wi.d01 ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 \u_skew_wi.u_mux_level_02  (.A0(net680),
-    .A1(net675),
+ sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_02  (.A0(net676),
+    .A1(net684),
     .S(net1),
     .X(\u_skew_wi.d02 ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 \u_skew_wi.u_mux_level_03  (.A0(net684),
-    .A1(net670),
+ sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_03  (.A0(\u_skew_wi.clk_d6 ),
+    .A1(net673),
     .S(net1),
     .X(\u_skew_wi.d03 ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_04  (.A0(net669),
-    .A1(net676),
+ sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_04  (.A0(net685),
+    .A1(net686),
     .S(net1),
     .X(\u_skew_wi.d04 ),
     .VGND(vssd1),
@@ -132658,15 +131967,15 @@
     .VPB(vccd1),
     .VPWR(vccd1));
  sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_05  (.A0(\u_skew_wi.clk_d10 ),
-    .A1(net679),
+    .A1(net682),
     .S(net1),
     .X(\u_skew_wi.d05 ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 \u_skew_wi.u_mux_level_06  (.A0(net685),
-    .A1(net678),
+ sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_06  (.A0(net690),
+    .A1(net683),
     .S(net1),
     .X(\u_skew_wi.d06 ),
     .VGND(vssd1),
@@ -132689,7 +131998,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_4 \u_skew_wi.u_mux_level_11  (.A0(\u_skew_wi.d02 ),
+ sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_11  (.A0(\u_skew_wi.d02 ),
     .A1(\u_skew_wi.d03 ),
     .S(net2),
     .X(\u_skew_wi.d11 ),
@@ -132697,7 +132006,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__mux2_2 \u_skew_wi.u_mux_level_12  (.A0(\u_skew_wi.d04 ),
+ sky130_fd_sc_hd__mux2_1 \u_skew_wi.u_mux_level_12  (.A0(\u_skew_wi.d04 ),
     .A1(\u_skew_wi.d05 ),
     .S(net2),
     .X(\u_skew_wi.d12 ),
@@ -132732,7 +132041,7 @@
  sky130_fd_sc_hd__mux2_4 \u_skew_wi.u_mux_level_30  (.A0(\u_skew_wi.d20 ),
     .A1(\u_skew_wi.d21 ),
     .S(net4),
-    .X(net666),
+    .X(net669),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
diff --git a/verilog/rtl/uart_i2c_usb/src/uart_i2c_usb.sv b/verilog/rtl/uart_i2c_usb/src/uart_i2c_usb.sv
index 9717583..be34a78 100644
--- a/verilog/rtl/uart_i2c_usb/src/uart_i2c_usb.sv
+++ b/verilog/rtl/uart_i2c_usb/src/uart_i2c_usb.sv
@@ -92,7 +92,7 @@
    input logic         reg_wr,
    input logic [3:0]   reg_addr,
    input logic [31:0]  reg_wdata,
-   input logic         reg_be,
+   input logic [3:0]   reg_be,
 
         // Outputs
    output logic [31:0]  reg_rdata,
@@ -192,7 +192,7 @@
         .reg_wr      (reg_wr           ),
         .reg_addr    (reg_addr[3:0]    ),
         .reg_wdata   (reg_wdata[7:0]   ),
-        .reg_be      (reg_be           ),
+        .reg_be      (reg_be[0]        ),
 
         // Outputs
         .reg_rdata   (reg_uart_rdata[7:0]),
diff --git a/verilog/rtl/user_project_wrapper.v b/verilog/rtl/user_project_wrapper.v
index 9d7acca..0336c1a 100644
--- a/verilog/rtl/user_project_wrapper.v
+++ b/verilog/rtl/user_project_wrapper.v
@@ -105,6 +105,9 @@
 ////          sdram                                               ////
 ////    1.3   Oct 28, 2021, Dinesh A                              ////
 ////          Modification for MPW-3 Shuttle                      ////
+////    1.4   Oct 28, 2021, Dinesh A                              ////
+////          Bug fix: uart_i2c_usb byte_select width changed     ////
+////          from 1 to 4                                         ////
 //////////////////////////////////////////////////////////////////////
 ////                                                              ////
 //// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
@@ -268,7 +271,7 @@
 wire   [7:0]                   wbd_uart_adr_o; // address
 wire                           wbd_uart_we_o;  // write
 wire   [31:0]                  wbd_uart_dat_o; // data output
-wire                           wbd_uart_sel_o; // byte enable
+wire   [3:0]                   wbd_uart_sel_o; // byte enable
 wire                           wbd_uart_cyc_o ;
 wire   [31:0]                  wbd_uart_dat_i; // data input
 wire                           wbd_uart_ack_i; // acknowlegement
diff --git a/verilog/rtl/wb_interconnect/src/wb_interconnect.sv b/verilog/rtl/wb_interconnect/src/wb_interconnect.sv
index e4bd1cd..5fc6359 100644
--- a/verilog/rtl/wb_interconnect/src/wb_interconnect.sv
+++ b/verilog/rtl/wb_interconnect/src/wb_interconnect.sv
@@ -170,7 +170,7 @@
          // input	logic 	s3_wbd_err_i,
          output	logic [31:0]	s3_wbd_dat_o,
          output	logic [7:0]	s3_wbd_adr_o, 
-         output	logic    	s3_wbd_sel_o,
+         output	logic [3:0]   	s3_wbd_sel_o,
          output	logic 	        s3_wbd_we_o,
          output	logic 	        s3_wbd_cyc_o,
          output	logic 	        s3_wbd_stb_o
@@ -344,7 +344,7 @@
 
  assign  s3_wbd_dat_o =  s3_wb_wr.wbd_dat[31:0] ;
  assign  s3_wbd_adr_o =  s3_wb_wr.wbd_adr[7:0] ; // Global Reg Need 8 bit
- assign  s3_wbd_sel_o =  s3_wb_wr.wbd_sel[0] ;
+ assign  s3_wbd_sel_o =  s3_wb_wr.wbd_sel ;
  assign  s3_wbd_we_o  =  s3_wb_wr.wbd_we  ;
  assign  s3_wbd_cyc_o =  s3_wb_wr.wbd_cyc ;
  assign  s3_wbd_stb_o =  s3_wb_wr.wbd_stb ;